Intel, по сообщениям, не будет внедрять литографию EUV до 2021 года

Intel, по сообщениям, не будет внедрять литографию EUV до 2021 года

На прошлой неделе уже развернутый технологический линейный процессор Intel стал еще одним хитом. По словам Марка Ли, инженера-электроники и аналитика Bernstein, компания будет откладывать внедрение Extreme Ultraviolet Lithography (EUV) до 2021 года. Вот уже несколько лет после того, как конкуренты TSMC и Samsung, как ожидается, будут иметь технологию в игре - может быть.

Проблема связана, по крайней мере частично, с общими задержками, которые попали в линейку Intel 10nm. Поскольку для создания нового технологического узла и создания новых инструментов в Интернете требуются годы, эти компании заранее планируют конкретные характеристики каждого узла. Невозможно модифицировать узел с помощью новой технологии, но это дорого и требует много времени. Поскольку успехи технологических узлов обычно связаны с внедрением новых технологий и усовершенствованных технологий производства, а не с помощью какой-либо одной физической метрики размера элемента полупроводника, это делает бизнес и маркетинг разумным для согласования внедрения новых технологий с внедрением новых узлов. Это особенно справедливо для EUV, что требует очень разных производственных условий и допусков по сравнению со стандартной литографией ArF 193 нм.

Мы впервые сообщили о слухах о том, что 10-нм Intel будет отложено еще в 2015 году. Представьте себе, что компания ударила по своей первоначальной цели и начала запуск EUV в 2016 году. Пока Tick-Tock по-прежнему гадает, 7-нм выйдет в 2018-2019 годах (с в виду, что технологические узлы Intel, как правило, сталкиваются с более агрессивными целями, которые сопоставляются с более узкими узлами конкурирующих литейных цехов). Если бы Intel могла придерживаться этой временной шкалы, 7nm и EUV пришли бы синонимом для нее, так же, как у них (более или менее) для Samsung и TSMC. Но временная шкала Intel скользнула - и с ее внедрением 10-нм узла, перенесенным в отпуск 2019 года, компания не сможет ввести EUV до 7-нм узла, который в настоящее время запланирован на 2021 год.

Причина, по которой «возможно» связана с этим, заключается в том, что EUV является оригинальной технологией «сейчас в ближайшее время». Первые документы, предлагающие мягкую рентгеновскую визуализацию, были опубликованы в 1988 году. Первая национальная программа по развитию EUV началась в 1995-1996 годах. Первый слайд-план Intel, опубликованный в 2000 году, призвал ввести EUV в производство к 2004 году или раньше , Четырнадцать лет спустя мы все еще ждем, когда производственные инструменты смогут догнать возможности, которые им требуется для производства полупроводниковой промышленности.

Крупнейшие литейные заводы (в том числе GlobalFoundries, до прошлой недели) уже много лет говорят о большой игре о введении EUV. Первый 7-нм узел TSMC не использует его, но более поздний вариант - 7FF +. Samsung проводит свое собственное введение в 7 нм до тех пор, пока EUV не будет готова и заявит, что он представит эту технологию в первой половине 2019 года. Анандтех рассмотрел некоторые из этих анонсов TSMC в начале этого года. Во-первых, посмотрите на размер улучшения, которое компания обещает клиентам, которые могут предпочесть 7FF + (EUV) по сравнению с 7FF (без EUV):

Данные от Anandtech
Данные от Anandtech

Улучшения, обещанные 7FF + более 7FF, являются крошечными. Компания даже не представила оценки для повышения производительности, помимо «выше». Одной из причин этого является то, что в первую очередь ожидается, что EUV уменьшит количество ошибок, снизит время производства и в противном случае улучшит структуру затрат литейного бизнеса, в отличие от чтобы обеспечить значительное улучшение производительности. На самом деле, возможно, что TSMC планирует доставить дополнительные улучшения в узел, чтобы поразить эти показатели производительности и мощности сверх EUV. В качестве альтернативы можно предположить, что эти преимущества, которые вводят EUV в некритические слои, можно ожидать. Но несколько абзацев позже в истории, есть следующее:

TSMC признает, что в настоящее время средний дневной уровень мощности источников света для их инструментов EUV составляет всего 145 Вт, что недостаточно для коммерческого использования. Некоторые из инструментов могут выдерживать 250 Вт в течение нескольких недель, и TSMC планирует в этом году поразить 300 Вт, но инструменты EUV по-прежнему нуждаются в улучшении. Есть также некоторые проблемы, которые необходимо решить с помощью таких вещей, как плёнки (они передают 83% света EUV и, как ожидается, достигнут 90% в следующем году), поэтому литография EUV вообще не готова к прайм-тайму только сейчас, но сейчас идет 2019 - 2020.

Машины с мощностью источника 200 Вт первоначально были спроектированы для доставки в 2009 году. Девять лет спустя у нас их пока нет. Было время (2011), когда компании предсказывали поставку источников в 500 Вт к середине 2013 года. Все эти детали и публичные презентации доступны в презентации EUV, составленной гитаристом-литографией д-ром Кристофером Макком еще в 2015 году. В 2013 году обещанная земля в 250 Вт должна была быть достигнута в 2015 году. В 2018 году мы предположительно на год ,

Возможно ли, что TSMC и Samsung окончательно очистили контрольно-пропускные пункты и что путь к полезному продукту и производству EUV составляет всего 4-6 месяцев после завершения? Конечно. Но читайте крошечный бит между строками. Эти компании подчеркивают, что их планы относительно EUV должны вводить его постепенно и в некритических областях в первую очередь. Они хеджируют ставки. Многие из объявлений о производстве EUV на сегодняшний день имеют высокую квалификацию. Когда ASML объявила, что в прошлом году на TWINSCAN NXB: 3400 была достигнута спецификация пропускной способности 125 ватт в час, она не объявила, что фактически изготовила что-либо с использованием соответствующего оборудования.

Скорее всего, это означает, что введение EUV будет либо отложено, так как компании будут бороться с мощностью источника 250 Вт в практическом производстве, а также с соответствующим решением для пленочных изделий или что технология будет расти постепенно и в течение нескольких лет. Основываясь на том, насколько медленными и неопределенными были временные рамки технологии, вполне возможно, что TSMC и Samsung проведут несколько лет, адаптируя их для использования в разных частях производственного процесса.

Intel, тем временем, будет делать то же самое. Помните, что мы говорили в начале - литейные цеха всегда смотрят в будущее на следующий технологический узел и планируют внедрить для него возможности. Разумеется, непреодолимое внимание Intel будет заключаться в том, чтобы завершить производство и выпуск 10-нм продукции прямо сейчас, но компания десятилетиями преследует EUV. Он не может быть первым, кто отправит SoCs, которые используют эту технологию, но это не означает, что Intel не может продолжать наращивать EUV для вставки на свой будущий 7-нм узел, одновременно работая над тем, чтобы получить более традиционный 10-нм процесс из двери.

Intel, по сообщениям, не будет внедрять литографию EUV до 2021 года

Оптика такого рода задержки невелика, но я все же предупреждаю о предостережении, прежде чем заключить, что эта новость EUV является дополнительным доказательством потери Intel в целом руководства технологическими технологиями. Каждый литейный цех, занимающийся разработкой передовых продуктов, работает над EUV, но никто - никто - пока не продемонстрировал, что они могут строить и поставлять SoC в объеме при использовании EUV для критических слоев. Этот шаг может не произойти до введения 5 нм; Скоттен Джонс (Scotten Jones), президент IC Knowledge LLC, отмечает, что он ожидает, что на 7 нм появятся решения для контактов и переходов, но сроки для достижения целей литейного производства на 5 нм очень плотные и требуют новых плёнок.

Задержка Intel EUV не является новой морщиной. Это неудивительный результат решения компании отложить 10 нм. Степень, с которой это может повлиять на дальнейшее развитие продукта в компании, будет зависеть от того, насколько успешны другие литейные цеха в транспортировке EUV с их собственных заводов. Несмотря на шумиху вокруг технологии, не ожидайте увидеть, что она в ближайшем будущем мгновенно или резко изменит производительность любого продукта. Ожидается, что это не то, что поставит EUV, и рост будет постепенно расширяться по нескольким узлам, поскольку производители вставляют технологию.

Читать далее

Раджа Кодури из Intel представит на предстоящей конференции Samsung Foundry
Раджа Кодури из Intel представит на предстоящей конференции Samsung Foundry

На этой неделе Раджа Кодури из Intel выступит на литейном мероприятии Samsung - и этого не случилось бы, если бы Intel не было, что сказать.

Новые детали Intel Rocket Lake: обратная совместимость, графика Xe, Cypress Cove
Новые детали Intel Rocket Lake: обратная совместимость, графика Xe, Cypress Cove

Intel опубликовала немного больше информации о Rocket Lake и его 10-нм процессоре, который был перенесен на 14-нм.

Intel представляет новые мобильные графические процессоры Xe Max для создателей контента начального уровня
Intel представляет новые мобильные графические процессоры Xe Max для создателей контента начального уровня

Intel выпустила новый потребительский мобильный графический процессор, но у него очень специфический вариант использования, по крайней мере, на данный момент.

Обзор Ryzen 9 5950X и 5900X: AMD демонстрирует Zen 3 против последних бастионов производительности Intel
Обзор Ryzen 9 5950X и 5900X: AMD демонстрирует Zen 3 против последних бастионов производительности Intel

AMD продолжает натиск на то, что когда-то было бесспорным дерн Intel.