Чиплеты - это и решение, и симптом более серьезной проблемы

Чиплеты - это и решение, и симптом более серьезной проблемы

Когда AMD анонсировала свои процессоры Ryzen третьего поколения, она также объявила, что будет использовать новый метод для соединения своих процессоров. Вместо создания стандартных монолитных процессоров (или соединения двух монолитных процессоров вместе в так называемом многочиповом модуле или MCM) AMD выбрала новый тип конфигурации, называемый чиплетом. С точки зрения маркетинга, чиплеты были огромным хитом; Я видел много читателей, очень взволнованных тем, что они приносят на стол. Но есть несколько более широкий контекст вокруг чипсетов - и почему мы делаем этот шаг в производстве полупроводников - это заслуживает изучения, особенно если вы хотите понять более крупные проблемы, движущие всей отраслью, а не только AMD.

Чиплеты являются признаком более крупной проблемы, с которой сталкивается полупроводниковая промышленность, и (мы надеемся), по крайней мере, краткосрочное решение этой же проблемы. Поскольку мы больше всего знаем о стратегии AMD, я буду ссылаться на нее в этой части, но AMD - не единственная компания, использующая чипсеты. Кажется, что каждый разработчик высокопроизводительного кремния, по крайней мере, оценивает эту идею.

Что такое чиплет?

Поскольку этот термин быстро завоевал популярность, он не всегда определяется. Чиплет содержит некоторые специализированные функциональные блоки, о которых мы обычно думаем, что они составляют монолитный микропроцессор. В своих процессорах Ryzen третьего поколения AMD решила разделить свои контроллеры ввода-вывода и DRAM на один функциональный блок, в то время как ядра и кэш-память третьего уровня содержатся в каждом отдельном чипсете.

Умерла система ввода-вывода Epyc, как показано на мероприятии AMD New Horizon.
Умерла система ввода-вывода Epyc, как показано на мероприятии AMD New Horizon.

Это не единственный внутренний способ создания чипсета. Со временем мы ожидаем, что производители будут экспериментировать с другими методологиями проектирования в зависимости от потребностей своих конкретных проектов. Некоторые чипы могут выиграть от использования центрального пула кеша. В других случаях компании могут использовать асимметричные чипсеты с разными возможностями для каждого. Существовали теории, что AMD может развернуть APU Ryzen третьего поколения с процессором для одного чипсета и GPU для другого, но AMD заявила, что не будет использовать свою архитектуру Matisse для этой цели. Когда мы в конечном итоге увидим APU на основе чипсета, может случиться так, что AMD продолжит собирать CPU и GPU на одном кристалле, но будет хранить входы / выходы и DRAM в отдельной ИС - или может иметь совершенно другое подразделение в уме.

Одна из основных целей проектирования чипсетов - предложить производителям больше возможностей при принятии решения о том, какие компоненты дизайна уменьшить, а какие оставить при том же размере и технологическом узле.

Пределы сокращения

«Быстрее, меньше, дешевле» - вот мантра компьютерной индустрии, по крайней мере, последние 60 лет. Основополагающая предпосылка закона Мура, первоначально сформулированного Гордоном Муром, заключалась в том, что достижения в области технологического производства приведут к успехам в интеграции. Именно способность создавать компоненты рядом друг с другом позволила создать первые процессоры, а позже позволила этим процессорам впитать дополнительную функциональность и возможности.

Но в то время как многие различные компоненты должны были уменьшаться в течение десятилетий, чтобы этот процесс происходил так, как он это делал, общий объем доступного масштабирования был другим. В качестве упрощенного объяснения: существует момент, когда больше не имеет смысла уменьшать контактные площадки или пытаться создавать более тонкие провода, потому что увеличение электрического сопротивления перевешивает любую выгоду в снижении мощности. Это неправильное масштабирование не является новым. Аналоговые схемы также не масштабируются с новыми узлами процесса, и сложность взаимодействия аналоговых и цифровых устройств в одном и том же SoC стала более трудной, поскольку мы достигли нижних узлов. Что нового - проблема, которая потребовала принятия новых производственных стратегий, - это то, что мы сейчас сталкиваемся с таким количеством проблем масштабирования, что имеет смысл порвать с 60-летним прецедентом и снова начать разбивать процессор.

Тот факт, что мы больше не можем масштабировать каждый аспект ЦП до нового узла и ожидать выгоды, является фундаментальным сдвигом по сравнению с прошлым, когда это предположение было по умолчанию. Будущие усовершенствования ввода / вывода или любого другого компонента, «оставленного позади» на более старом узле, вероятно, потребуются за счет более совершенных алгоритмов, улучшений упаковки или разработки материалов, а не сокращения узлов процесса.

Теоретически это может привести к меньшим улучшениям для каждого узла. Если раньше у вас была возможность улучшить конструкцию всего чипа на 15 процентов (для любого показателя улучшения, на который вы нацелены), и теперь вам нужно ограничить примененные улучшения 50 процентами ЦП, на которые вы нацелены для сокращения матрицы, Вы можете увидеть меньшую абсолютную прибыль в целом.

Чиплеты - это и решение, и симптом более серьезной проблемы

AMD прогнозирует, что ее удельный миллиметр удвоится с 14/16 до 7 нм. Другими словами, влияние высокой урожайности уже учтено в этом графике.

Что чипсы могут решить, вроде

Микросхемы могут устранить несколько негативных тенденций в производстве полупроводников, по крайней мере, до определенной степени. Они предоставляют производителям потенциально более эффективные средства для достижения усадки, концентрируя исследования и разработки на тех частях чипа, которые могут быть выгодно сокращены. При монолитном дизайне производители микросхем вынуждены сокращать весь чип, даже если некоторые блоки не обновляются и после этого не будут работать иначе.

Во-вторых, создание меньших микросхем позволяет меньше тратить пластины (меньшие процессоры тратят меньше места на периферии), увеличивать количество ядер ЦП на одну пластину и повышает производительность. В монолитном дизайне одно плохое ядро ​​ЦП из 18 в лучшем случае означает, что вы не можете продавать ЦП как полноценный 18-ядерный чип. Это должно быть объединено в более дешевый сегмент. С чиплетами вы теоретически отказываетесь меньше, когда выбрасываете один чиплет, а не снижаете цену или выбрасываете монолитное ядро. Точная экономия зависит от специфики ваших ставок доходности и вариантов продажи неидеальных фишек, но такая возможность, безусловно, есть.

В-третьих, чипсеты теоретически позволяют производителям специализировать отдельные функциональные блоки для конкретных материалов и процессов. Achronix подчеркивает это в недавнем PDF-документе, ориентированном на микросхемы:

Полупроводниковый материал, используемый для изготовления каждого чиплета, не ограничивается кремнием, что является еще одним преимуществом чипсета. Например, специализированные чиплеты могут быть изготовлены из различных композиционных полупроводниковых материалов, включая SiGe (кремний-германий), GaAs (арсенид галлия), GaN (нитрид галлия) или InP (фосфид индия), чтобы использовать уникальные электронные свойства этих полупроводниковых материалов. ,

Но опять же, это было бы глубоким отходом от традиционной конструкции процессора. GaN, InP, GaAs и SiGe существуют на границах основного потока кремния, используемого для специальных целей, где их особые черты дают им преимущество перед традиционным производством. Вот почему, несмотря на особые преимущества этих материалов для определенных типов чипов, мы не видим, чтобы они использовались, скажем, в вашем типичном Core i7 или AMD Ryzen.

Преимущества чипсов не могут быть отделены от трудностей, связанных с их использованием

Хотя AMD была наиболее тесно связана с чипсетами в последние месяцы, они далеко не единственная компания, работающая над этой технологией. Технологии Intel EMIB и Foveros имеют потенциальные возможности использования чипсетов. Это та область, к которой стремятся многие компании, поскольку ожидается, что это будет путь вперед, который может работать для многих приложений.

Мы уже знаем, что третье поколение AMD Ryzen обеспечит значительное улучшение энергопотребления и общей производительности. Очевидно, что преимущества разделения процессоров на части, позволяющие продолжать переход на более мелкие узлы процессов, превосходят преимущества сохранения монолитных конструкций, по крайней мере, для AMD. Другие компании, вероятно, последуют их примеру.

Но принятие чиплетов также является инженерным подтверждением ограничений, которые раньше не существовали. Нам не нужны чипсы. Когда такие компании, как TSMC, публично предсказывают, что их 5-нм узел обеспечит гораздо меньшую производительность и энергопотребление, чем предыдущие узлы, это отчасти является подтверждением того, что инженеры, привыкшие к доставке с узлов процесса, теперь будут получать другим способом. Никто не особенно уверен, как это сделать, и анализ того, насколько эффективно инженеры повышают производительность без дополнительных транзисторов для решения проблемы, не был оптимистичным. Инициативы по исследованию влияния на производительность обработки в масштабе пластин являются еще одним примером того, как инженеры ищут новые способы создания микросхем или их оптимизации после изготовления, чтобы обеспечить повышение производительности, которое мы когда-то получили от сокращения узлов.

Мы собираемся много говорить о чипсетах, как только появится третье поколение Ryzen, и у нас будет возможность подробно рассказать о том, как AMD приняла эту технологию и каковы ее преимущества. Более поздние чипы, несомненно, дадут нам более расширенное представление о компромиссах и преимуществах. Но что касается того, как думать о чипсетах: я бы назвал их умной адаптацией к фундаментальной проблеме. Они не сделаны из волшебной пыли или рогов единорога. Они волшебным образом не восстанавливают тот тип масштабирования процессора, который мы видели много лет назад. Они не обеспечивают автоматическое или внутреннее улучшение производительности - для них это возможно, но это не само собой разумеющееся - и преимущества, которые они передают с точки зрения доходности и стоимости, следует рассматривать как ответ на стремительный рост цен на узлы и общие трудности с доходностью. это займет больше времени, чтобы разобраться, чем они когда-то сделали.

Важно помнить о состоянии более крупной экосистемы при оценке того, что ожидать в отношении улучшений, полученных из чипсетов. Индустрия коллективно изобрела микросхемы, потому что она нуждалась в том, чтобы они продолжали предлагать улучшения от одного поколения к другому, даже если это означало выбросить десятилетия ортодоксальности дизайна из окна. Они являются как обнадеживающей демонстрацией того, что мы продолжали находить решения для масштабирования проблем, так и напоминанием о том, что законы физики сжимаются вокруг нас, создавая потребность в таких решениях в первую очередь.

Читать далее

Новый мозговой имплантат меняет симптомы Паркинсона
Новый мозговой имплантат меняет симптомы Паркинсона

Первый пациент, получивший имплантат, говорит, что влияние было «удивительным».