Chiplets є як рішенням, так і симптомом більшої проблеми

Chiplets є як рішенням, так і симптомом більшої проблеми

Коли AMD оприлюднила процесори Ryzen третього покоління, вона також заявила, що буде використовувати новий метод для з'єднання своїх процесорів. Замість того, щоб будувати стандартні монолітні процесори (або з'єднувати два монолітні процесори разом у багаточиповому модулі або MCM), AMD вибрав новий тип конфігурації, який називається чиплет. З точки зору маркетингу, chiplets були величезним хітом; Я бачив багато читачів, які дуже раді тому, що вони приносять до столу. Але існує деякий більший контекст навколо chiplets - і чому ми робимо цей крок у виробництві напівпровідників - що заслуговує на вивчення, особливо якщо ви хочете зрозуміти більші питання, що ведуть всю галузь, а не просто AMD.

Chiplets є одночасно і симптомом більшої проблеми, яку має напівпровідникова промисловість, і, принаймні, короткострокове вирішення цієї проблеми. Оскільки ми знаємо найбільше про стратегію компанії AMD, я посилаюся на неї в цій частині, але компанія AMD не єдина компанія, яка приймає chiplets. Кожен розробник високоефективного кремнію здається, принаймні, оцінює цю ідею.

Що таке чиплет?

Оскільки так швидко, як цей термін прижився, він не завжди визначається. Мікросхема містить деякі з спеціалізованих функціональних блоків, які ми зазвичай думаємо як складають монолітний мікропроцесор. Завдяки процесорам Ryzen третього покоління, AMD вирішив розділити свої контролери вводу-виводу і DRAM в єдиний функціональний блок, в той час як його ядра процесора і кеш-пам'ять L3 містяться в кожній окремій мікросхемі.

Пам'ять вводу / виводу Epyc, як показано на події нового горизонту AMD.
Пам'ять вводу / виводу Epyc, як показано на події нового горизонту AMD.

Це не єдиний внутрішній спосіб побудови чиплета. З часом ми очікуємо, що виробники експериментуватимуть з іншими методологіями проектування в залежності від потреб конкретних проектів. Деякі чіпи можуть скористатися центральними пулами кешу. В інших випадках компанії можуть вибирати розгортання асиметричних мереж з різними можливостями на кожному з них. Існували теорії про те, що AMD може розгорнути ризенський APU третього покоління з процесором для одного чиплету і GPU для іншого, але AMD заявила, що не використовуватиме свою архітектуру Matisse для цієї мети. Коли ми в кінцевому підсумку бачимо APU на базі мікросхем, може бути, що AMD продовжуватиме будувати процесор і GPU на одній і тій же машині, але зберігатиме I / O і DRAM в окремій IC - або може мати зовсім інше підрозділ. в пам'яті.

Одна з основних цілей проектування chiplets полягає в тому, щоб запропонувати виробникам більше можливостей при вирішенні того, які компоненти конструкції будуть зменшуватися в порівнянні з тими, що зберігатимуться в тому ж самому розмірі і вузлі процесу.

Межі скорочення

"Швидше, менше, дешевше" була мантра комп'ютерної індустрії, принаймні протягом останніх 60 років. Фундаментальна передумова закону Мура, як це було спочатку сформульовано Гордоном Муром, полягає в тому, що досягнення технологічного виробництва призведуть до успішної інтеграції. Це була можливість побудови компонентів поруч, що дозволило створити перші процесори, а пізніше, що дозволило цим процесорам поглинати додаткові функціональні можливості та можливості.

Але в той час, як багато різних компонентів повинні були зменшуватися вниз протягом десятиліть для того, щоб цей процес відбувався так, як це було, загальний обсяг доступного масштабування був іншим. Як спрощене пояснення: Існує точка, в якій більше не має сенсу робити контактні майданчики меншими або намагатися побудувати більш тонкі дроти, оскільки збільшення електричного опору переважає будь-яку користь у зменшенні потужності. Це нерегулярне масштабування не є новим. Аналогові схеми також не масштабуються з новими вузлами процесу, а складність взаємодії аналогових і цифрових з'єднань на одному і тому ж SoC стає більш складним, оскільки ми влучні в нижні вузли. Що нового - проблема, яка вимагала прийняття нових стратегій виробництва - те, що ми зараз стикаємося з багатьма проблемами масштабування, має сенс розірвати з 60-річним прецедентом і знову розірвати процесор.

Той факт, що ми не можемо більше масштабувати кожен аспект процесора до нового вузла і очікувати на користь, є фундаментальним зрушенням від минулого, коли це припущення було типовим. Майбутні вдосконалення вводу-виводу або будь-якого іншого компонента, що залишився на старому вузлі, ймовірно, потребуватиме кращих алгоритмів, поліпшень упаковки або інжинірингу матеріалів, а не скорочувати вузли процесу.

Теоретично, це може призвести до менших поліпшень на основі кожного вузла. Якщо ви раніше мали змогу покращити дизайн всієї мікросхеми на 15 відсотків (за будь-яких показників поліпшення, на які ви орієнтуєтеся), і тепер вам доведеться обмежити застосовані поліпшення до 50 відсотків ЦП, на які ви націлюєте, ви можете побачити менші абсолютні прибутки в цілому.

Chiplets є як рішенням, так і симптомом більшої проблеми

Компанія AMD прогнозує, що її міліметр в два рази збільшиться з 14 до 16 нм до 7 нм. Іншими словами, вплив високих врожаїв вже було враховано в цьому графіку.

Що Chiplets може вирішити, Сорт

Chiplets може вирішити кілька негативних тенденцій у виробництві напівпровідників, принаймні до певного моменту. Вони представляють виробників з потенційно більш ефективним засобом досягнення скорочень, концентруючи дослідження та розробки на тих частинах чіпа, які можуть бути прибутково скорочені. З монолітним дизайном чіппи повинні згортати всю мікросхему, навіть якщо певні блоки не оновлюються і не працюватимуть інакше.

По-друге, створення менших мікросхем дозволяє менше відходів вафель (менші процесори витрачають менше крайової кімнати), більша кількість ядер процесора на пластину і покращує вихід. У монолітній конструкції один з 18 найважчих процесорів означає, що в кращому випадку процесор не можна продавати як повний 18-ядерний чіп. Вона повинна бути переведена в сегмент з нижчими цінами. З chiplets, ви теоретично відмовляєтеся менше, коли ви викидаєте один чиплет на відміну від зниження ціни або викидаєте монолітне ядро. Точна економія залежить від специфіки ваших прибуткових ставок і варіантів продажу менш досконалих фішок, але можливість, безумовно, існує.

По-третє, chiplets теоретично дозволяють виробникам спеціалізувати окремі функціональні блоки для конкретних матеріалів і процесів. Achronix робить це в недавньому PDF-файлі, орієнтованому на чиплет:

Напівпровідниковий матеріал, який використовується для виготовлення кожної стружки, не обмежується кремнієм, що є ще однією перевагою мікросхеми. Наприклад, спеціалізовані вузли можуть бути виготовлені з різних композитних напівпровідникових матеріалів, включаючи SiGe (кремній германій), GaAs (арсенід галію), GaN (нітрид галію) або InP (фосфід індію) для використання унікальних електронних властивостей цих напівпровідникових матеріалів .

Але знову ж таки, це буде глибокий відхід від традиційного дизайну процесора. GaN, InP, GaAs, і SiGe існують на узліссі кремнію, який використовується для спеціалізованих цілей, де їхні особливі риси надають їм перевагу над традиційним виробництвом. Ось чому, незважаючи на певні переваги цих матеріалів для певних типів мікросхем, ми не бачимо, що вони використовуються, скажімо, у типових Core i7 або AMD Ryzen.

Переваги Chiplet не можуть бути відокремлені від труднощів, які вони використовують

В той час, як AMD була найбільш тісно пов'язана з chiplets в останні місяці, вони далекі від єдиної компанії, що працює над технологією. Обидві технології Intel EMIB і Foveros мають потенційні додатки для чиплетів. Це сфера, в яку вкладаються численні компанії, оскільки очікується, що це буде шлях, який може працювати для багатьох програм.

Ми вже знаємо, що Ryzen третього покоління AMD забезпечить значне поліпшення енергоспоживання та загальної продуктивності. Зрозуміло, що переваги руйнування процесорів, крім того, щоб продовжувати рухатися до менших вузлів процесу, випереджають переваги збереження монолітних конструкцій, принаймні для AMD. Інші компанії, ймовірно, підуть за цим.

Але прийняття chiplets також інженерне визнання обмежень, які не використовувалися для існування. Ми не потребували chiplets. Коли такі компанії, як TSMC, публічно передбачають, що їх 5nm вузол надасть значно менше поліпшення продуктивності та потужності, ніж попередні вузли, це частково є підтвердженням того, що інженери вдосконалені для доставки з вузлів процесу тепер повинні бути отримані іншим способом. Ніхто не впевнений, як це зробити, і аналіз того, як ефективно інженери підвищують продуктивність без додаткових транзисторів, щоб кинути на проблему, не були оптимістичними. Ініціативи щодо вивчення впливу обробки пластин на продуктивність пластин є ще одним прикладом того, як інженери шукають нові способи побудови мікросхем, або оптимізують їх після виробництва, щоб забезпечити поліпшення продуктивності, яке ми колись отримали від вузлів стиснення.

Ми будемо говорити багато про chiplets, як тільки прийде третє покоління Ryzen, і ми маємо можливість проаналізувати, як AMD прийняла цю технологію і які переваги. Пізніші фішки, безсумнівно, дадуть нам більш розширений вигляд компромісів і переваг. Але як би думати про chiplets: Я б назвав їх розумною адаптацією до фундаментальної проблеми. Вони не зроблені з казкового пилу або однорогів. Вони не магічно повторно включають тип масштабування процесора, який ми використовували, щоб бачити років тому. Вони не пропонують автоматично або внутрішньо пропонують кращу продуктивність - для них це можливо, але це не дано - і переваги, які вони надають з точки зору прибутковості та вартості, слід розглядати як відповідь на стрімке зростання цін і загальних труднощів у виході що займає більше часу, ніж вони колись.

Важливо мати на увазі стан більшої екосистеми при оцінці того, чого можна очікувати, коли йдеться про вдосконалення, отримані за допомогою чіплет. Промисловість колективно винайшла chiplets, тому що їм було потрібно, щоб вони продовжували пропонувати вдосконалення від одного покоління до іншого, навіть якщо це означало б викинути десятиліття ортодоксальності дизайну у вікно. Вони і є надихаючою демонстрацією того, що ми продовжуємо знаходити рішення проблем масштабування і нагадуємо, що закони фізики затягуються навколо нас, створюючи в першу чергу вимогу для таких рішень.