RISC VS. CISC является неправильным объективом для сравнения современного X86, ARM CPU

RISC VS. CISC является неправильным объективом для сравнения современного X86, ARM CPU

Обновление (12/29/2021): Это конец года, поэтому мы наполняем несколько старых фаворитов из ранее в 2021 году. Назначенный ниже «M2» относится к Apple SoC, которые в конечном итоге поставляются в виде M1 Pro M1 Макс.

Оригинальная история ниже:

С WWDC Apple скоро появится, мы ожидаем услышать больше о обновленном обновлении компании MacBook Pro MacBook. Слухи указывают на Apple, запустив шифер модернизированных систем, на этот раз на основе его CPU «M2», масштабированной версии ядра M1, которые дебютировали в прошлом году. M2 может представить восемь высокопроизводительных сердечников и два высокоэффективных ядра, вверх по конфигурации 4 + 4 в существующем M1.

С запуском M1 на основе ARM наступил плот X86-VISIUS-ARM и онлайн-обсуждения, сравнивающих и контрастную новую архитектуру. В этих потоках вы часто видите, что авторы поднимают две дополнительные аббревиатуры: CISC и RISC. Связь между «ARM VERSUS X86» и «CISC по сравнению с RISC» настолько сильна, каждая единственная история на первой странице результатов Google определяет первую со ссылкой на вторую.

Эта ассоциация по ошибке предполагает, что «X86 против ARM» можно аккуратно классифицировать в «CISC по сравнению с RISC» с X86 CISC и ARM RISC. Тридцать лет назад это было правдой. Это не так сегодня. Битва над тем, как сравнить X86 CPU для процессоров, построенных другими компаниями, не новая. Это только новое сегодня, потому что X86 не имел значимого архитектурного соперника почти на два десятилетия. Рука может заметно идентифицировать себя как компанию RICH CPU, но сегодня эти термины скрывают столько, сколько они проясняют в отношении современного состояния CPUS X86 и ARM.

RISC VS. CISC является неправильным объективом для сравнения современного X86, ARM CPU

Упрощенная история частей люди согласны на

RICH - это термин, придуманный Дэвидом Паттерсоном и Дэвидом Дицелем в своей семенной бумаге 1981 года «Чехол для уменьшенного компьютера набора инструкций». Двое мужчин предложили новый подход к полупроводниковому дизайну, основанный на наблюдаемых тенденциях в конце 1970-х годов, и проблемы масштабирования, возникающих, возникающих потом ток CPU. Они предложили термин «CISC» - сложный компьютерный компьютер - описать многие из различных архитектур ЦП, уже существовали, которые не следовали принципам РСС.

Эта воспринимаемая потребность в новом подходе к проекту процессора пришла примерно так, как изменены узкие места, ограничивающие производительность ЦП. Так называемые конструкции CISC, в том числе оригинал 8086, были разработаны для решения высокой стоимости памяти, перемещая сложность в оборудовании. Они подчеркивали плотность кода, а некоторые инструкции выполняли несколько операций в последовательности в переменной. В качестве дизайна философии CISC CISC пытался улучшить производительность, минимизируя количество инструкций, который должен был выполнить процессор, чтобы выполнить заданную задачу. Архитектура набора инструкций CISC CISC обычно предложила широкий спектр специализированных инструкций.

К концу 1970-х годов CSC CSU был несколько недостатков. Они часто должны были быть реализованы на нескольких чипах, поскольку методы VLSI (очень крупномасштабная интеграция) периода времени не смогли упаковать все необходимые компоненты в один пакет. Внедрение сложных архитектур набора инструкций, при поддержке большого количества редко используемых инструкций, потребляемого пространства для умирания и пониженные максимальные достижимые часовые скорости. Между тем стоимость памяти неуклонно уменьшается, делая акцент на размере кода менее важно.

Patterson и Ditzel утверждали, что процессор CISC все еще пытался решить проблему в раздувании кода, которые никогда не были вполне материализованы. Они предложили принципиально другой подход к дизайну процессора. Понимая, что подавляющее большинство инструкций CISC было неиспользовано (думать об этом как в качестве применения принципа Парето, или правило 80/20), авторы предложили гораздо меньший набор инструкций с фиксированной продолжительностью, все они будут заполнены в одном Часы цикла. Хотя это приведет к тому, что CPU RICH, выполняющий меньше работы в соответствии с инструкцией, чем его аналог CISC, дизайнеры чипов компенсируют это, упрощав свои процессоры.

Эта упрощение позволит потратить транзисторные бюджеты на другие функции, такие как дополнительные регистры. Предлагаемые будущие функции в 1981 году включали «на чипках кеши, большие и быстрые транзисторы или даже трубопровод». Цель процессоров RISC заключалась в том, чтобы выполнить как можно ближе к одному IPC (инструкция на часовой цикл, меру эффективности процессора), максимально возможной, как можно быстрее. Реализуйте ресурсы в этой моде, авторы утверждали, а конечный результат превзойдет любой сравнительный дизайн CISC.

Это не потребовалось много времени для этих принципов дизайна, чтобы доказать свою ценность. R2000, введенный MIPS в 1985 году, был способен поддерживать IPC, близкую к 1 в определенных обстоятельствах. Ранние семейства CPU RISC, такие как SPARC и семья PA-RISC PA-RISC HP, также устанавливают записи о производительности. В конце 1980-х и начале 1990-х годов было обычным слышать, что люди говорят, что архитектуры, основанные на CISC, такие как X86, были прошлым, и, возможно, достаточно хороши для домашних вычислений, но если вы хотели работать с настоящим процессором, вы купили чип RISC Отказ Центры обработки данных, рабочие станции и HPC - это то, где RISC CPU были наиболее успешными, как показано ниже:

RISC VS. CISC является неправильным объективом для сравнения современного X86, ARM CPU

Рассмотрим, что говорит это изображение о состоянии рынка ЦП в 1990 году. К 1990 году X86 ограничивало процессор не X86 до 20 процентов от персонального компьютерного рынка, но оно практически не было никакой доли X86 в центрах обработки данных, а также нет в ГПК. Когда Apple хотел сделать ставку на проект процессора следующего поколения, он решил сделать ставку на PowerPC в 1991 году, потому что он верил, что высокопроизводительные процессоры построены вдоль принципов RISC, были в будущем вычисления.

Соглашение о взаимной истории CISC против RISC останавливается в начале 1990-х годов. Тот факт, что архитектура Intel X86 продолжала доминировать на вычислительной отрасли через ПК, центры обработки данных, а также высокопроизводительные вычисления (HPC) бесспорный. То, что оспаривается, достигнут ли INTEL и AMD, приняв определенные принципы дизайна RISC или, если их претензии сделать это, были ложью.

Расходящиеся взгляды

Одной из причин, почему термины, такие как RISC и CISC, плохо понимаются, является из-за давнего несогласия относительно смысла и характера некоторых разработок процессоров. Пара цитат проиллюстрирует проблему:

Во-первых, вот Пол Demone от Realworldtech, в «RISC VS. CISC все еще имеет значение:»

Кампания по оформлению четкого различия между RISC и CISC, переехала в высокую передачу с появлением современных реализаций процессора X86, используемых словами, использующими слова, управляющие длиной, чтобы управлять путями данных о выполнении данных ... RISC и CISC сходятся к тому, что «RISC и CISC) Принципиально ошибочная концепция, которая возвращается к запуску I486 в 1992 году и укоренилась в широко распространенном невежестве разницы между архитектурными архитектурами и деталями реализации физического процессора.

Напротив, вот Jon «Hannibal» Stokes в «RISC VS. CISC: ERA Post-Risc:»

К настоящему времени следует очевидно, что аббревиатуры «RISC» и «CISC», о том, что оба дизайна философии имеют гораздо больше, чем просто простота или сложность набора инструкций ... В свете того, что мы теперь знаем о историческом Разработка RISC и COSC и проблемы, которые каждый подход пытался решить, теперь следует очевидно, что оба термины одинаково бессмыслены ... какой бы ни был дискуссию «RISC VS. CISC», которые когда-то продолжались, и что теперь нужно следовать является более нюансированным и гораздо более интересным обсуждением, которое требует каждая платформа и программное обеспечение, ISA и внедрение - на собственные достоинства.

Ни одна из этих статей не является новым. Статья Stokes была написана в 1999 году, в 2000 году «Демоне» в 2000 году. Я процитировал из них оба, чтобы продемонстрировать, что вопрос о том, является ли RISC против различия CISC, имеет отношение к современным вычислениям буквально более 20 лет. Джон Стокс - бывший собеседник мой и более чем опыт, чтобы не попасть в «невежество» ловушку демонстрации.

Реализация против ИСА

Двумя цитатами выше захватывают два разных взгляда на то, что значит говорить о «CISC против RISC». Взгляд демона в целом похож на взгляд на руку или яблоко. Назовите это ISA-ориентированное положение.

Точка зрения Stokes - это то, что вообще преобладало мышление в PC Press в течение последних нескольких десятилетий. Мы назовем эту позицию, ориентированную на реализацию. Я использую слово «Реализация», потому что он может контекстно относиться как к микроархитектуре CPU, либо в узле процесса, используемого для изготовления физического чипа. Оба этих элемента имеют отношение к нашему обсуждению. Две позиции описаны как «ориентированные», потому что между ними есть перекрытие. Оба автора признают и согласуются со многими тенденциями, даже если они достигают разных выводов.

Согласно ISA-ориентированной позиции, существуют определенные врожденные характеристики наборов инструкций RISC, которые делают эти архитектуры более эффективными, чем их двоюродные братья X86, включая использование инструкций с фиксированной длиной и дизайн нагрузки / магазина. Хотя некоторые из оригинальных различий между CISC и RISC более не имеют смысла, ISA-ориентированный вид считает, что остальные различия по-прежнему определяют, что касается производительности и энергоэффективности между X86 и ARM, предоставляют сравнение яблок к яблокам. Отказ

Эта ISA-ориентированная перспектива проводит, что Intel, AMD и X86 выиграли через MIPS, SPARC и Power / PowerPC по трем причинам: превосходное производство процессов Intel, постепенное снижение так называемого «налога CISC» с течением времени, когда превосходный Изготовление включено, и что двоичная совместимость сделала x86 более ценно, так как его установка база выросла, было ли это лучшее ISA.

Точка зрения, ориентированная на реализацию, смотрит на то, как создали современные процессоры, поскольку были изобретены условия, такие как RSC и CISC, были изобретены и утверждаются, что мы работаем с совершенно устаревшей парой категорий.

Вот пример. Сегодня как X86, так и высокие процессоры ARM используют выполнение вне заказа для улучшения производительности процессора. Использование кремния для повторных заказов инструкций на лету для лучшего эффективности исполнения полностью наступает на исходную философию оригинальной конструкции RISC. Patterson и Ditzel выступают за менее сложный CPU, способный работать на более высоких часовых скоростях. Другие распространенные особенности CPU CPU современных ARM, такие как единицы исполнения SIMD и прогноз отделения, также не существовали в 1981 году. Оригинальная цель РБС была для всех инструкций для выполнения в одном цикле, и большинство инструкций ARM соответствуют этому правилу, но ISAS ARMV8 и ARMV9 содержит инструкции, которые требуют более одного цикла такса для выполнения. Так что делайте современные процессоры X86.

Представление, ориентированное на реализацию, что комбинация улучшений процессов усовершенствований и улучшений микроархитектуры позволило X86 закрыть разрыв с помощью процессоров RICH уже давно и что различия на уровне ISA не относятся к очень низким энергетическим конвертам. Это точка зрения, поддерживаемая исследованием 2014 года по эффективности ISA, о которой я написал в прошлом. Это точка зрения, как правило, поддерживается Intel и AMD, и это то, что я уже спорил.

Но это неправильно?

Собраняется развитие RISC и CISC?

Просмотр, ориентированное на реализацию, заключается в том, что процессоры CISC и RICH и RICH были развиты друг к другу в течение десятилетий, начиная с принятия новых «RSC-подобных» методах декодирования для процессоров декодирования X86 в середине 1990-х годов.

Общее объяснение идет так: в начале 1990-х годов Intel и другие производители процессоров X86 поняли, что улучшение производительности процессора в будущем потребуется больше, чем большие кэши или быстрее часы. Несколько компаний решили инвестировать в микроархитектуры CPU CPU X86, которые переполнят свои собственные потоки обучения на лету, чтобы улучшить производительность. В рамках этого процесса нативные инструкции X86 были поданы в декодер X86 и переведены на «resc-подобные» микро-опс перед выполнением.

Сейчас это было обычная мудрость в течение двух десятилетий, но в последнее время она была оспорена в последнее время. В истории, размещенной в среднем обратно в 2020 году, Эрик Энгхайм писал: «В фишках X86 нет внутренних чипов RISC. Это просто маркетинговая уловка ». Он указывает на оба истории демона, так и цитаты Боб Колвелл, главным архитектором за микроархитектурой P6.

Микроархитектура P6 была первой микроархитектурой Intel для реализации выполнения вне заказа и нативный механизм X86-Micro-OP DeCode. P6 был отправлен в виде Pentium Pro, и он превратился в Pentium II, Pentium 3 и за ее пределами. Это дедушка современного CPU CPU. Если кто-то должен знать ответ на этот вопрос, это будет Колвелл, поэтому вот что он должен был сказать:

У Intel X86 не имеют двигателя RISC под капотом. " Они реализуют архитектуру набора инструкций x86 через схему декодирования / выполнения, полагаясь на сопоставление инструкций X86 в машинные операции, или последовательности операций машин для сложных инструкций, и эти операции затем проходят через микроархитектуру, подчиняющиеся различным правилам зависимости к данным и в конечном счете, секвенирование времени.

«Micro-Ops», которые выполняют этот подвиг, имеют ширину более 100 битов, несут все виды нечетной информации, не могут быть непосредственно сгенерированы компилятором, не обязательно являются одиночным циклом. Но самое все, что они являются артефайцем микроархитектуры - RISC / CISC - это risc / CISC - это архитектура набора инструкций ... Micro-op Idea не была «вдохновленной RSC», «RISC-Alude» или вообще связана с Risc. Это была наша команда дизайна, находящей способ нарушить сложность очень сложной инструкции, установленной вдали от возможностей микроархитектуры и ограничений, присутствующих в конкурентном микропроцессоре.

Дело закрыто! Правильно?

Не совсем. (Нажмите выше для приближения того, как я чувствую, когда даже появляюсь, чтобы противоречить Бобу Колвелле)

Intel не был первым производителем CPU CPU X86, чтобы объединить фронт-конечный декодер X86 с тем, что было заявлено, что он является «RISC-стилем». Нексген, позже приобретенный AMD, был. DEXGEN 5 × 86 CPU дебютировал в марте 1994 года, в то время как Pentium Pro не запустит до ноября 1995 года. Вот как Nexgen описал свой процессор: «Процессор NX586 - это первая реализация инновационного и запатентованного микроархитектуры Nexgen». (Акцент добавлен). Позже компания дает несколько дополнительных деталей: «Инновационный подход RISCH86 динамически переводит инструкции X86 в инструкции RISC86. Как показано на рисунке ниже, NX586 использует преимущества принципов производительности RISC. Из-за среды RISCH86 каждый блок выполнения меньше и компактнее ».

Еще все еще можно утверждать, что это маркетинг говорит и ничего больше, поэтому давайте наступим на первом до 1996 года и AMD K5. K5, как правило, описывается как фронт-конце X86, женатый на исполнение Backend AMD, заимствованную из 32-битного микроконтроллера RISC, AM29000. Прежде чем мы проверим свою блок-схему, я хочу сравнить его против оригинального Intel Pentium. Pentium, возможно, вершина эволюции CISC X86, учитывая, что она реализует как кондиционирование, так и сверхсказание в процессоре X86, но не преобразует инструкции X86 в Micro-OPS и не хватает механизма выполнения вне заказа.

RISC VS. CISC является неправильным объективом для сравнения современного X86, ARM CPU

Теперь сравните Pentium против AMD K5.

RISC VS. CISC является неправильным объективом для сравнения современного X86, ARM CPU

Если вы потратили в любое время, глядя на микропроцессорные блок-диаграммы, K5 должен выглядеть знакомым таким образом, что Pentium не имеет. AMD купил Nexgen после запуска NX586. K5 был домашним дизайном AMD, но K6 изначально был продуктом Nexgen. С этого момента вспомогательные процессоры начинают все больше похожи на чипсы, с которыми мы знакомы. И, по словам инженеров, которые спроектировали эти чипы, сходство побежало больше, чем кожа глубоко.

Дэвид Кристи Амд AMD опубликовал статью в IEEE Micro на K5 обратно в 1996 году, которая говорит о том, как она гибридизована RISC и CISC:

Мы разработали Micro-ISA, основанную на основе набора инструкций 29000. Несколько дополнительных полей управления расширили размер микроинструкции до 59 битов. Некоторые из них упрощают и ускоряют суперкаларную логику управления. Другие предоставляют специфичные для X86 функциональность, которая является слишком эффективной, важной для синтеза с последовательностями микро инструкции. Но эти микроинструкции по-прежнему придерживаются основных принципов RISC: простой реестр-регистрируют операции с кодировкой фиксированной позиции с указателями регистров и других полей, и не более одной ссылки на память на операцию. По этой причине мы называем их операциями RISC или ROPS для коротких (произнесенных R-OPS). Их простая, общенаправленная природа дает нам большую гибкость в реализации более сложных операций X86, помогая сохранить логику выполнения относительно простым.

Однако наиболее важным аспектом микроархитектуры RISC, однако, заключается в том, что сложность набора инструкций X86 останавливается на декодере и в значительной степени прозрачна для выполнения выполнения вне заказа. Этот подход требует очень мало дополнительной сложности контроля за пределы того, что необходима для спекулятивных выступлений RISC RISC, чтобы достичь спекулятивного выхода X86. Последовательность ROP для выключателя задач выглядит не более сложной, чем для строки простых инструкций. Сложность сердечника выполнения эффективно изолирована от сложности архитектуры, а не усугубляется этим.

Кристи не путает разницу между ISA и деталями физической реализации ЦП. Он утверждает, что физическая реализация сама по себе «Riss - подобная» в значительных и важных способах.

K5 повторно использованные части исполнения задней части AMD, разработанные для его семейства RISC AM29000, и он реализует внутренний набор инструкций, который является более похожим на RSCH, чем нативный ISA. Техника «Стиль RISC Nexgen и AMD» относятся в течение этого периода опорных концепций, таких как кэширования данных, кондиционирование и суперскалярные архитектуры. Два из них - кеши и трубопроводы - названы в документе Паттерсона. Ни одна из этих идей не является строго Risc, но все они сначала дебютировали в процессоре RISC, и они были преимуществами, связанными с RISC CPU, когда K5 был новым. Маркетинг этих возможностей как «RSC-подобное» имел смысл по той же причине, имел смысл для OEM эпохи, чтобы описать их ПК как «IBM-совместимый».

Степень, в которой эти особенности RISC и ответ на то, следует ли X86 CPU Decode Risc-инструкции в стиле RISC зависит от критериев, которые вы выбираете, чтобы оказать вопрос. Аргумент больше, чем Pentium Pro, даже если P6 - микроархитектура, наиболее связанная с эволюцией методов, таких как механизм выполнения вне заказа. Различные инженеры в разных компаниях имели свои собственные точки зрения.

Насколько обременены процессорами X86 в современной эпоху?

Прошлое никогда не мертв. Это даже не прошлое. - Уильям Фолкнер

Пришло время тянуть эту дискуссию в современную эпоху и подумать о том, какие значения сравнения этого «RISC по сравнению с CISC» предназначены для ARM и CPU CPUS X86, фактически отправляются сегодня. Вопрос, который мы действительно задаем, когда мы сравниваем CPU AMD и Intel с M1 Apple M1 и будущим M2, заключается в том, есть ли исторические узкие места x86, которые предотвратит эффективно конкурирующую x86 с помощью Apple и будущих фишек из компаний, таких как Qualcomm?

По данным AMD и Intel: Нет. В соответствии с ARM: Да. Поскольку все компании, касающиеся интересов, явных конфликтов, вместо этого я попросил Агнер Туман.

Агнер Туман - это датский эволюционный антрополог и компьютерный ученый, известный для обширных ресурсов, которые он поддерживает на архитектуре X86. Его микроархитектурные руководства практически требуются чтением, если вы хотите понять низкоуровневое поведение различных Intel и AMD CPU:

ISA не является неактуальным. ISA X86 очень сложна из-за длительной истории небольших инкрементных изменений и исправлений, чтобы добавить больше функций для ISA, которые действительно не имели места для таких новых функций ...

Сложный X86 ISA делает декодирование узкого места. Инструкция X86 может иметь любую длину от 1 до 15 байтов, и это довольно сложно рассчитать длину. И вам нужно знать длину одной инструкции, прежде чем вы сможете начать декодировать следующий. Это, безусловно, проблема, если вы хотите декодировать 4 или 6 инструкций на тактовой цикл! И Intel и AMD теперь продолжают добавлять более крупные микро-опки для преодоления этого узкого места. ARM имеет инструкции по фиксированному размеру, чтобы это узкое место не существует, и нет необходимости в кэше микропремости.

Еще одна проблема с X86 заключается в том, что она нуждается в длинном трубопроводе, чтобы иметь дело с сложностью. Наказание за несоответствие ветви равно длине трубопровода. Таким образом, они добавляют постоянно сложные механизмы прогнозирования ветвления с крупными столами истории ветви и целевыми буферами ветви. Все это, конечно, требует больше кремниевого пространства и большего потребления мощности.

X86 ISA довольно успешно, несмотря на эти бремя. Это потому, что он может сделать больше работы за обучение. Например, RSC ISA с 32-разрядными инструкциями не может загрузить операнду памяти в одну инструкцию, если ему нужны 32 бита только для адреса памяти.

В своем микроархитектурном руководстве Agner также пишет, что более поздние тенденции в разработке AMD и Intel CPU подтверждают в принципах CISC, чтобы лучше использовать ограниченные кэширующие кодекс, увеличить пропускную способность трубопровода и уменьшить потребление энергии, сохраняя потребление энергии, сохраняя менструации питания, сохраняя менструации питания, сохраняя потребление мощности, сохраняя менструации питания, сохраняя менструации питания, сохраняя менструации питания, сохраняя потребление энергии, удерживая меньшее количество микрообоих Отказ Эти улучшения представляют собой микроархитектурные смещения, которые улучшили общую производительность и эффективность и энергоэффективность X86.

И вот, наконец, мы приезжаем в сердце вопроса: насколько тяжелый штраф делает современные AMD и Intel CPU за счет совместимости X86?

Узкое место декодирования, прогноз ветвления и сложности трубопроводов, которые Agner относится к вышеуказанному, являются частью «налога в CISC», которое Arm Argues утверждает, что X86 Incurs. В прошлом, Intel и AMD сообщили нам декодирующую силу - это однозначный процент общего потребления чипов. Но это не значит много значит, если CPU сжигается мощность для микропремонного кэша или сложной ветви предиктора, чтобы компенсировать недостаток ширины полосы декодирования. Микрооперативное энергопотребление кэша и энергопотребление прогнозирования ветвления обычно определяются микроархитектурой CPU и его узлом процессов производства. «RISC против CISC» не адекватно захватывает сложность отношений между этими тремя переменными.

Это займет несколько лет до того, как мы узнаем, если Apple's M1 и будущие процессоры от Qualcomm представляют собой море моря на рынке или на следующий вызов AMD и Intel. Будь то поддержание совместимости X86, является бременем для современных процессоров, является как новый вопрос, так и очень старый. Новый, потому что до запуска M1 не было никакого значимого сравнения. Старый, потому что эта тема использовалась, чтобы получить довольно много дискуссии, когда в персональных компьютерах все еще использовались процессоры без x86.

AMD продолжает улучшать дзен на 1.15X - 1,2x в год. Мы знаем, что озеро Intel Alder также будет использовать Low-Power Cres CPU CPU для улучшения потребления мощности бездействия. Оба производителя X86 продолжают развивать свои подходы к производительности. Потребуется время, чтобы увидеть, как эти сердечники, и их преемники, карта против будущих продуктов Apple - но X86 не выходит из этого боя.

Почему RISC VS. CISC является неправильным способом сравнить x86, ARM CPU

Когда Patterson и Ditzel придумали Risc и CISC, они намеревались уточнить две разные стратегии дизайна процессора. Сорок лет, термины неразрешены столько, сколько они уточняют. RISC и COSC не имеют бессмысленности, но смысл и применимость обоих терминов стали очень контекстуальными.

RISC VS. CISC является неправильным объективом для сравнения современного X86, ARM CPU

Проблема с использованием RISC против COSC в качестве объектива для сравнения CPU для сравнения современных X86 против ARM CPUS заключается в том, что требуется три специфических атрибута, которые имеют значение для сравнения ARM для сравнения руки x86, и ISA - обрабатывает их, микроархитектуру, а затем объявляет Рука превосходит на основе isa один. «ISA-Centric» по сравнению с реализацией «является лучшим способом понимания темы, при условии, что одна вспоминает, что есть диаграмма в целях согласованных важных факторов между двумя. Конкретно:

ISA-ориентированный аргумент признает, что производственная геометрия и микроархитектура важны и были исторически ответственными за доминирование X86 на рынке PC, Server и HPC. Этот взгляд удерживает, что, когда преимущества производственного мастерства и установки базы контролируются для или Nullified, RISC - и по расширению, ARM CPU - обычно доказывают превосходящее количество CPUS.

Реализационный ориентированный аргумент признает, что ISA может и имеет значение, но это исторически, микроархитектура и геометрия процесса имеют значение больше. Intel все еще восстанавливается от некоторых из худших задержек в истории компании. AMD все еще работает над улучшением Рызена, особенно на мобильном. Исторически обоих производителей X86 продемонстрировали способность эффективно конкурировать против производителей RISC CPU.

Учитывая реальность циклов дизайна процессора, это будет несколько лет, прежде чем у нас действительно будет ответ, на который превосходит аргумент. Одним из разных различий между полупроводниковым рынком сегодня и рынком 20 лет назад является то, что TSMC является гораздо более сильным литейным участником, чем большинство производителей RISC Intel, столкнувшись в конце 1990-х и начале 2000-х годов. Команда Intel 7nm должна быть под огромным давлением, чтобы доставить на этот узел.

Ничто в этой истории не следует читать, чтобы подразумевать, что CPU ARM не может быть быстрее и эффективнее, чем процессор X86. M1 и процессоры, которые последуют от Apple и Qualcomm, представляют собой наиболее мощную конкурентоспособную угрозу X86, столкнулся за последние 20 лет. ISA-ориентированная точка зрения может доказать верно. Но Risc против CISC является отправной точкой для понимания исторической разницы между двумя различными типами семейств ЦП, а не последним словом о том, как они сравнивают сегодня.

Этот аргумент явно идет никуда. Бои, которые выгнали, когда ура стало самым горячим, на телевидении, как правило, обладают силой. Но понимание его истории, надеюсь, помогает объяснить, почему это недостаточный объектив для сравнения процессоров в современной эпоху.

ПРИМЕЧАНИЕ. Я не согласен с Engheim по идее, что различные претензии, подобные РССЭ, сделанные производителями X86, представляют собой маркетинговую улочку, но он написал несколько отличных историй по различным аспектам программирования и проекта процессора. Я рекомендую его работу более подробно по этим темам.

Изображение функция Intel.

Читать далее

RISC VS. CISC не является неправильным объективом для сравнения современного X86, ARM CPU
RISC VS. CISC не является неправильным объективом для сравнения современного X86, ARM CPU

Попробуйте исследовать различия между семействами процессоров X86 и ARM (или X86 и Apple M1), и вы увидите CRONIMES CISC и RICH. Это распространенный способ оформить обсуждение, но не очень полезно. Сегодня «RISC против CISC» скрывает больше, чем это объясняет.

Modder Wires Iconic 1970 TV Up для современного ПК, консольные игры
Modder Wires Iconic 1970 TV Up для современного ПК, консольные игры

Моддеру удалось подключить JVC Videosphere до последних консолей от Sony, Microsoft и Nintendo.

Западная версия Yakuza Kiwami 2 обновляет классический PS2-Era для современной эпохи
Западная версия Yakuza Kiwami 2 обновляет классический PS2-Era для современной эпохи

Хотя этот римейк второй партии на PS2 поражает все те же удары, он действительно чувствует себя как настоящий современный релиз. Даже для тех из нас, кто уже был на поезде Якудза в поздних ботах, стоит изучить этот любовно обработанный римейк.

Новые исследования предупреждают о «нормальном происшествии» от ИИ в современной войне
Новые исследования предупреждают о «нормальном происшествии» от ИИ в современной войне

Искусственный интеллект продолжает обеспечивать жизненно важные решения некоторых очень сложных проблем на этом раннем этапе, но остается надвигающейся угрозой, когда мы рассматриваем его применение на поле битвы. Новое исследование детализирует надвигающиеся риски и связывает судьбу человечества со сложными решениями, с которыми мы столкнемся в ближайшем будущем.