RISC проти CISC - це неправильна лінза для порівняння сучасного X86, ручного процесора

RISC проти CISC - це неправильна лінза для порівняння сучасного X86, ручного процесора

Оновлення (22.02.2021): це кінець року, тому ми наплачуємо кілька старих вибраних з раніше в 2021 році. "М2", зазначений нижче, відноситься до Apple SOCS, що в кінцевому підсумку відвантажено як M1 Pro і M1 max.

Оригінальна історія нижче:

З WWDC Apple скоро, ми очікуємо почути більше про оновлену компанію, ноутбуки MacBook Pro. Чутки вказують на Apple, запуску шиферу модернізованих систем, цього разу, заснованого навколо його "M2" CPU, розширеної версії Core M1, який дебютував у минулому році. M2, як повідомляється, полегшує восьми високопродуктивних сердечників та двох високопродуктивних сердечників, від конфігурації 4 + 4 у існуючому M1.

З запуском Arm-Battern M1 вийшов плоту X86-проти-порівняння та онлайн-дискусії, що порівнюють та контрастують нові архітектури. У цих нитках, ви часто побачите авторів, виховують два додаткові абревіатури: CISC та RISC. Зв'язування між "ARM проти X86" та "CISC проти RISC" настільки сильна, кожна історія на першій сторінці результатів Google визначає першу з посиланням на другий.

Ця асоціація помилково свідчить про те, що "X86 проти ARM" можна чітко класифікувати в "Цисп проти RISC", з X86 Будучи ЦІС та рукою RISC. Тридцять років тому це було правдою. Сьогодні це не так. Битва над тим, як порівняти X86 CPU для процесорів, побудованих іншими компаніями, не є новим. Він тільки відчуває себе новим сьогодні, тому що X86 не мав значущого архітектурного суперника протягом майже двох десятиліть. Рука може бути чітко визначити себе як компанію RISC CPU, але сьогодні ці терміни приховують стільки, скільки вони уточнюються щодо сучасного стану X86 та рук.

RISC проти CISC - це неправильна лінза для порівняння сучасного X86, ручного процесора

Спрощена історія частин, які люди згодні

RISC є термін, який виходить Девід Паттерсон та Девід Діцел у своїй насінній папері 1981 року ". Корпус для зменшення набору комп'ютера." Два чоловіки запропонували новий підхід до напівпровідникового дизайну, заснованого на спостережуваних тенденціях наприкінці 1970-х років, і масштабування, пов'язані з цим поточним процесором. Вони запропонували термін "CISC" - Комплексний набір комплексних інструкцій - описати багато різних архітектур КПУ вже існування, що не дотримувалися принципів RISC.

Ця сприймана потреба в новому підході до проектування процесора з'явилося, оскільки обмеження, що обмежують продуктивність процесора CPU. Так звані конструкції CISC, включаючи оригінал 8086, були розроблені для боротьби з високою вартістю пам'яті шляхом переміщення складності в апаратне забезпечення. Вони підкреслювали щільність коду, а деякі інструкції виконували кілька операцій у послідовності на змінній. Як конструкторська філософія, CISC намагалася покращити продуктивність, мінімізуючи кількість інструкцій, КПУ довелося виконати для виконання заданого завдання. Архітектури набору інструкцій CISC, як правило, пропонується широкий спектр спеціалізованих інструкцій.

До кінця 1970-х років CSC CPU має ряд недоліків. Вони часто мали бути реалізовані через множинні чіпси, оскільки методи VLSI (дуже велика масштабна інтеграція) методи часу часу не змогли упакувати всі необхідні компоненти в єдиний пакет. Впровадження складних інструкцій, що встановлюють архітектур, за підтримки великої кількості рідко використовуваних інструкцій, споживаного місця вбивства та зниження максимально досягнутої тактової швидкості. Тим часом вартість пам'яті неухильно зменшується, що робить акцент на розмір коду менш важливим.

Паттерсон і Діцель стверджували, що CSC CPUS все ще намагався вирішити проблеми з роздумом коду, які ніколи не знали. Вони запропонували принципово інший підхід до дизайну процесора. Розуміючи, що переважна більшість інструкцій ЦКІ йшло невикористані (подумайте про це як застосування принципу ПАРЕТО, або правилом 80/20), автори запропонували набагато менший набір інструкцій з фіксованим довжиною, всі з яких буде завершено в одному Цикл годинника. Хоча це призведе до процесора RISC, який виконує меншу роботу за інструкцію, ніж його конструктор Cisc, конструктори чіпів компенсують це, спрощуючи їх процесори.

Це спрощення дозволить транзисторним бюджетам витрачати на інші функції, такі як додаткові регістри. Розглянуті майбутні функції в 1981 році включені "Cache Caches, більші та швидкі транзистори, або навіть конвеєрні." Мета для процесора RISC полягала в тому, щоб виконати як близько до одного IPC (інструкція для циклу годин, вимірювання ефективності процесора) якомога швидше. Перерозподіляються ресурси в цій моді, автори стверджували, а кінцевий результат перевершив будь-який порівняльний дизайн ЦІС.

Це не займе багато часу для цих принципів дизайну, щоб довести свою цінність. R2000, представлений MIPS у 1985 році, був здатний підтримувати IPC близько до 1 за певних обставин. Раннє RISC CPU сім'ї, такі як SPARC та сім'я PA-RISC PA HP, також встановлюють записи про виконання. Наприкінці 1980-х і початку 1990-х років було спільним, щоб почути, що люди, такі як архітектури, такі як X86, були, та, можливо, досить добре для домашнього обчислення, але якщо ви хочете працювати з реальним процесором, ви купили чіп RISC . Центри даних, робочі станції та HPC, де RISC CPUS були найбільш успішними, як показано нижче:

RISC проти CISC - це неправильна лінза для порівняння сучасного X86, ручного процесора

Розглянемо те, що таке зображення говорить про стан ринку процесора в 1990 році. До 1990 року, X86 обмежував не-X86 CPUS до 20 відсотків ринку персонального комп'ютера, але практично не вистачає X86 у центрах даних, а не в HPC. Коли Apple хотів зробити ставку на дизайн центрального процесора наступного покоління, він вирішив зробити ставку на PowerPC у 1991 році, оскільки вважає, що високопродуктивний процесор, побудований за принципами RISC, - це майбутнє обчислення.

Угода про взаємну історію Циска проти RISC зупиняється на початку 1990-х років. Той факт, що архітектура X86 Intel продовжував домінувати обчислювальну промисловість через ПК, центри обробки даних та високопродуктивних обчислень (HPC) безперечно. Що таке спірне, чи зробили Intel та AMD, прийнявши певні принципи дизайну RISC, або якщо їхні вимоги зробили це, було лежить.

Розбіжні перегляди

Однією з причин того, чому такі терміни, такі як RISC та CISC, погано розуміються, є через тривалу незгоду щодо сенсу та характеру певних процесорів. Пара цитат ілюструє проблему:

По-перше, ось Павло демонструє з RealWorldtech, в "RISC проти CISC все ще має значення:"

Кампанія, яка закликала чітке відмінність між RISC та CISC, переїхала у високу передачу з появою сучасного процесора X86, використовуючи закріплені слова контролю за фіксованою довжиною для керування шляхами виконання даних поза замовленнями ... "RISC та CISC зближуються" точка зору принципово недосконала концепція, яка повертається до запуску I486 у 1992 році, і вкорінена широкомасштабним незнанням різниці між інструкціями, встановленими архітектурами та деталями реалізації фізичного процесора.

Навпаки, ось Джон "Ганнібал" Стокс у "RISC проти CISC: ERA POST-RISC:"

До цього часу повинно бути очевидним, що абревіатури "RISC" та "CISC" Белі той факт, що обидва дизайнерські філософи мають набагато більше, ніж просто простоту чи складність набору інструкцій ... у світлі те, що ми зараз знаємо про історичну Розвиток RISC та CISC, а також проблеми, що кожен підхід намагався вирішити, тепер повинен бути очевидним, що обидва терміни однаково безглузді ... Якби "RISC проти CISC" Дебати, що колись продовжував, вже давно закінчився, і те, що тепер треба дотримуватися Чи є більш нюансована та набагато цікава дискусія, яка бере кожну платформу-апаратне та програмне забезпечення, ISA та реалізацію за власними заслугами.

Жодна з цих статей не є новим. Стаття Stokes була написана в 1999 році, демонструюсь у 2000 році. Я цитував від них, обидва, щоб продемонструвати, що питання про те, чи є RISC порівняно з відмінностями CISC, актуальна для сучасних обчислень, буквально більше 20 років. Jon Stokes - це колишній співробітник шахти і більше, ніж експерт, щоб не потрапити в "незнання", демонструє посилання.

Впровадження проти ISA

Два цитат вище захоплення двох різних поглядів на те, що це означає говорити про "Цисп проти RISC". Вид демонстрації широко схожа на вигляд Apple або Apple сьогодні. Зателефонуйте цьому положення isa-центрального.

Точка зору Stokes - це те, що, як правило, переважає мислення в ПК прес протягом останніх декількох десятиліть. Ми називаємо цю позицію впровадження. Я використовую слово "впровадження", оскільки він може контекстувати, як мікроархітектуру процесу, або технологічний вузол, який використовується для виготовлення фізичного чіпа. Обидва ці елементи мають відношення до нашої дискусії. Два позиції описуються як "центральний", тому що між ними перекривається. Обидва автори визнають і згодні з багатьма тенденціями, навіть якщо вони досягають різних висновків.

Відповідно до позиції ISA, існують певні вроджені характеристики наборів інструкцій RISC, які роблять ці архітектури більш ефективними, ніж їхні двоюрічні кузени X86, включаючи використання інструкцій з фіксованою довжиною та дизайном завантаження / магазину. Хоча деякі з первісних відмінностей між CISC та RISC більше не значущі, то орієнтовний погляд, що залишилися, залишаються детерміративними, наскільки це стосується продуктивності та енергоефективності між X86 та ARM, забезпечується порівняння яблук до яблук .

Ця перспектива ISA-орієнтується, що Intel, AMD та X86 виграли через MIPS, SPARC та POWER / PowerPC з трьох причин: Виробництво чудових процесів Intel, поступове зниження так званого податку CISC з часом, що Intel's Superior Виготовлення включено, і ця бінарна сумісність зробила X86 більш цінною, оскільки його встановлена ​​база виріс, чи це найкращий ISA.

Оглядова точка впровадження виглядає сучасним процесором, що розвивалися з тих пір, як умови, такі як RISC, і Cisc були винайдені та стверджують, що ми працюємо з абсолютно застарілою парою категорій.

Ось приклад. Сьогодні, обидва x86, так і CPU для високого класу, використовують виконання поза замовлення, щоб покращити продуктивність процесора. Використання кремнію для повторного замовлення інструкцій на Fly для кращого виконання ефективності повністю виступає з оригінальним дизайнерським філософією RISC. Паттерсон і Дідцел виступали за менш складним процесором, здатним працювати на більш високих тактових швидкостях. Інші спільні риси сучасного ручного процесора, такі як SIMD-виконання одиниць та прогнозування, також не існувало в 1981 році. Оригінальна мета RISC була для всіх інструкцій, щоб виконати в одному циклі, а більшість інструкцій з руками відповідають цьому правилу, але ARMV8 та ARMV9 ISAS містять інструкції, які приймають більше одного тактового циклу. Так роблять сучасний cpus x86.

Вид на впровадження, що реалізується, стверджує, що покращення покращення технологічного вузла та мікроархітектурних покращень дозволило X86 щоб закрити розрив з RISC CPUS, і що відмінності рівня ISA не мають відношення до дуже низьких енергетичних конвертів. Це точка зору, що підтримує дослідження 2014 року на ефективності ISA, яку я написав у минулому. Це точка зору, як правило, підтримується Intel і AMD, і це один я стверджував.

Але це неправильно?

Чи конвергує розвиток RISC та CISC?

Оглядова процедура впровадження полягає в тому, що CISC та RISC перетворилися один на одного протягом десятиліть, починаючи з прийняття нових "Risc-Like" методів декодування для X86 CPUS у середині 1990-х років.

Спільне пояснення йде так: На початку 1990-х років виробники процесорів CPU Intel та інші X86 реалізували, що покращення ефективності процесора в майбутньому вимагатиме більше, ніж більші кеші або швидкі годинники. Кілька компаній вирішили інвестувати в X86 мікроархітектури процесора X86, які могли б змінити свої власні інструкції потік на льоту, щоб покращити продуктивність. Як частина цього процесу, інструкції Rating X86 були подані в декодер X86, і перекладаються на "RISC-подібні" мікро-операції, перш ніж виконувати.

Це була звичайна мудрість вже понад два десятиліття, але нещодавно це було оскаржено. У історії, опублікованому до середнього назад у 2020 році, Ерік Енггейм писав: "Немає внутрішніх внутрішніх справ РІС на X86. Це лише маркетинговий прилад. " Він вказує на історію демонів, так і цитату Боб Коулвелл, головним архітектором за мікроархітектурою P6.

Мікроархітектура P6 була першою мікроархітежем Intel, щоб здійснити виконання поза замовленням та рідним двигуном X86-MICRO-OP декодування. P6 було відправлено як Pentium Pro, і вона перетворилася на Pentium II, Pentium 3, а далі. Це дідусь сучасного x86 cpus. Якщо хтось повинен знати відповідь на це питання, то це буде Коулвелл, тому ось що він повинен був сказати:

X86 "Intel" не має двигуна RISC "під капотом". Вони реалізують архітектуру, що встановлює X86, за допомогою схеми декодування / виконання, покладаючись на відображення інструкцій X86 у операції машин, або послідовності машинних операцій для складних інструкцій, а ці операції, а потім знайдуть свій шлях через мікроархітектуру, підкоряючись різним правилам щодо залежності від даних та в кінцевому підсумку.

"Micro-OPS", який виконує цей подвиг, перевищує 100 біт, несуть всілякі непарні відомості, не можуть бути безпосередньо створені компілятором, не обов'язково є єдиним циклом. Але, перш за все, вони є мікроархітектурою - RISC / CISC полягає у створенні архітектури. Мікрооп-ідея не була "RISC-натхненною", "RISC-подібною" або пов'язаною з RISC взагалі. Це була наша команда дизайну, яка знайшла спосіб розірвати складність дуже складної інструкції, що відбудуться від можливостей та обмежень мікроархітектур, присутніх у конкурентному мікропроцесорі.

Справу закрито! Право?

Не зовсім. (Натисніть вище, для наближення того, як я відчуваю, коли навіть з'являється, щоб суперечити Боб Коулвелл)

Intel не був першим виробником процесора X86, щоб об'єднати декодер X86, що стверджував, що було заголовком "RISC-стиль". Nexgen, пізніше придбав AMD, був. CPU Nexgen 5 × 86 дебютував у березні 1994 року, тоді як Pentium Pro не запускає до листопада 1995 року. Ось як нехген описав його процесор: "Процесор NX586 є першою реалізацією новаторської та запатентованої мікроархітектури RISC86". (Наголос додано). Пізніше компанія дає додаткову докладну інформацію: "Інноваційний підхід RISC8 динамічно перекладає інструкції X86 у інструкції RISC86. Як показано на малюнку нижче, NX586 використовує принципи ефективності RISC. Завдяки середовищі RISC8, кожна блок виконання є меншим і більш компактним. "

Це все ще можна стверджувати, що це маркетинг говорить і не більше нічого, тому давайте поступово до 1996 року та AMD K5. K5, як правило, описується як фронтальний кінець x86, одружений на виконавчому бекендах AMD, запозиченого з 32-розрядного мікро-контролера RISC, AM29000. Перш ніж ми перевіримо свою блок-діаграму, я хочу порівняти це проти оригінального Intel Pentium. Pentium, безумовно, Pinnacle з еволюції CISC X86, з огляду на те, що він реалізує як трубопровід, так і надширувальний процесор X86, але не перекладає інструкції X86 у мікро-операції та не вистачає двигуна виконання поза замовлення.

RISC проти CISC - це неправильна лінза для порівняння сучасного X86, ручного процесора

Тепер порівнюйте Pentium проти AMD K5.

RISC проти CISC - це неправильна лінза для порівняння сучасного X86, ручного процесора

Якщо ви провели в будь-який час, дивлячись на мікропроцесорні блок-діаграми, K5 повинен виглядати знайомим таким чином, щоб Pentium не робить. AMD купив Nexgen після запуску NX586. K5 був домашнім дизайном AMD, але K6 спочатку був продуктом Nexgen. З цієї точки вперед, CPU починає виглядати більше, як чіпси, які ми знайомі з сьогодні. І за словами інженерів, які розробили ці чіпси, подібність побігли більше, ніж глибока шкіра.

Девід Крісті від AMD опублікував статтю в IEEE Micro на K5 назад у 1996 році, що говорить про те, як він гібридизований RISC та Cisc:

Ми розробили мікро-ISA, засновану на наборі інструкцій 29000. Кілька додаткових полів керування розширили розмір мікроінструкції до 59 біт. Деякі з них спрощують і прискорюють логіку керування надкаларом. Інші надають X86-специфічну функціональність, яка є надто ефективною для синтезу з послідовностями мікроінструкцій. Але ці мікроразони все ще дотримуються основних принципів RISC: простий реєстр, щоб зареєструвати операції з кодуванням фіксованого положення регістру та інших полів та не більше однієї довідки пам'яті за операцію. З цієї причини ми називаємо їх операціями RISC, або ROPS для коротких (виражених R-OPS). Їх простий, загальний характер природи дає нам велику гнучкість у здійсненні більш складних операцій X86, допомагаючи зберегти логіку виконання відносно простою.

Однак найважливіший аспект мікроархітектури RISC, однак, полягає в тому, що складність набору інструкцій X86 зупиняється на декодері та в значній мірі є прозорим до основного виконання поза замовлення. Цей підхід вимагає дуже невеликої додаткової складності контролю за винятком того, що необхідне для спекулятивного вилучення RISC RISC для досягнення спекулятивного позаштатного виконання x86. Послідовність ROP для перемикача завдань не виглядає більш складним, ніж для ряду простих інструкцій. Складність ядра виконання ефективно виділяється від складності архітектури, а не посилюється ним.

Крісті не заплутує різницю між ISA та деталями фізичної реалізації процесора. Він стверджує, що фізична реалізація є "RISC-подібною" значною та важливі способи.

K5 повторно використовуваних частин виконання Back-End AMD розроблено для своєї сімейства CPU RISC RISC, і він реалізує внутрішній набір інструкцій, який є більш високоякісним, ніж рідний X86 ISA. Методи стилю RISC-STYLE NEXGEN та AMD відносяться до цього періоду довідкових концепцій, таких як кеші даних, конвеєрні та суперечальні архітектури. Два з них - кешування та трубопровід - називаються паттерновим папером. Жодна з цих ідей не є суворо RISC, але всі вони дебютували в процесі RISC, і вони були перевагами, пов'язаними з CPU RISC, коли K5 був новим. Маркетинг цих можливостей, як "Risc-Like" з тієї ж причини, що має сенс для OEM з епохи, щоб описати свої ПК як "IBM-сумісний".

Ступінь, до якої ці особливості є RISC, і відповідь на те, чи X86 CPUS декодує інструкції Risc-Style, залежить від критеріїв, які ви вирішили рамкувати питання. Аргумент більше, ніж Pentium Pro, навіть якщо P6 - це мікроархітектура, яка найбільше пов'язана з еволюцією методів, як двигун поза замовленням. Різні інженери в різних компаніях мали власні точки зору.

Як обтяжені CPU x86 в сучасній епоху?

Минуле ніколи не мертве. Це навіть не минуле. - Вільям Фулкнер

Настав час витягнути цю дискусію в сучасну епоху та розглянути, які наслідки цього "RISC проти CISC" порівняння для ARM та X86 CPUS фактично доставка сьогодні. Питання, яке ми дійсно просимо, коли ми порівнюємо AMD та Intel CPUS з M1 Apple M1 та Future M2 полягає в тому, чи є історичні вузькі місця x86, які дозволять ефективно конкурувати x86 з яблуко та майбутніми чіпсами від компаній, таких як Qualcomm?

За даними AMD та Intel: Ні. За рукою: Так. Оскільки всі компанії, про які знаходяться очевидні конфлікти інтересів, я запитав Агнер туман.

Агнер Туман - це датський еволюційний антрополог та комп'ютерний вчений, відомий для великих ресурсів, які він підтримує на архітектурі X86. Його мікроархітектурні посібники практично вимагають читання, якщо ви хочете зрозуміти поведінку низького рівня різного інстанцій та AMD CPUS:

Isa не є нерелевантним. X86 ISA дуже складна завдяки тривалій історії невеликих додаткових змін та патчів, щоб додати інші функції до ISA, яка насправді не мала ніякої для таких нових функцій ...

Складна X86 ISA робить декодування вузького місця. Інструкція x86 може мати будь-яку довжину від 1 до 15 байт, і це досить складно обчислити довжину. І ви повинні знати довжину однієї інструкції, перш ніж ви зможете декодувати наступну. Це, безумовно, проблема, якщо ви хочете декодувати 4 або 6 інструкцій за цикл годин! Обидва Intel, так і AMD тепер зберігають додавання великих кашелів мікро-операцій, щоб подолати це вузьке місце. ARM має інструкції з фіксованого розміру, тому це вузьке місце не існує, і немає необхідності в мікро-операційному кеш-пам'яті.

Інша проблема з X86 полягає в тому, що він потребує довгих трубопроводів для вирішення складності. Відгалуження невідповідності покарання дорівнює довжині трубопроводу. Таким чином, вони додають все більш складні механізми прогнозування галузі з великими таблицями історії галузі та буферами цільових галузей. Все це, звичайно, вимагає більше кремнієвого простору та більше енергоспоживання.

X86 ISA досить успішний, незважаючи на ці тягар. Це тому, що це може зробити більше роботи за навчання. Наприклад, RISC ISA з 32-розрядовими інструкціями не може завантажувати операнд пам'яті в одній інструкції, якщо вона потребує 32 біт лише для адреси пам'яті.

У своєму мікроархітектурному посібнику Agner також пише, що більш останні тенденції в конструкціях CSC та Intel також слухали принципи CISC, щоб краще використовувати обмежені кешування коду, збільшити пропускну здатність трубопроводу та зменшити споживання енергії, зберігаючи менше мікро-операцій у трубопроводі . Ці покращення являють собою мікроархітектурні компенсації, які покращилися загалом X86 продуктивності та ефективності енергії.

І ось, нарешті, ми приїжджаємо до серця питання: як важкий покарання виконують сучасні AMD та Intel CPUS за сумісність X86?

Декодова вузьке місце, прогнозування галузі та складності трубопроводів, які Агнер відноситься вище, є частиною "податку" ЦІС ", що рукою стверджує X86. У минулому Intel та AMD сказали нам, що потужність декодує, є однозначним відсотком загального споживання енергії чіпів. Але це не означає, що це не означає, що процесор є палаючою потужністю для мікро-операційного кеш-пам'яті або складної гілки, щоб компенсувати відсутність пропускної здатності декодування. Мікропровідне споживання електроенергії та енергоспоживання, що передбачається, визначається мікроархітектуром процесора та його вузлом технологічного процесу. "RISC проти CISC" не адекватно захоплює складність відносин між цими трьома змінними.

Це займе кілька років, перш ніж ми знаємо, якщо M1 Apple M1 та Future CPU з Qualcomm представляють море зміну на ринку або наступний виклик AMD та Intel підуть. Незалежно від того, чи підтримання сумісності x86 - це тягар для сучасного процесора, є новим питанням, так і дуже старою. Нове, тому що до запуску M1 не було сенсу порівняння. Старий, тому що ця тема використовується досить трохи обговорення, коли в особистих комп'ютерах не використовувався CPU-X86.

AMD продовжує покращити Zen на 1.15x - 1.2x на рік. Ми знаємо, що Олдер-Олдер Intel також використовуватиме низькоефективну X86 CPU Cores, щоб покращити споживання енергії. Обидва виробники X86 продовжують розвивати свої підходи до продуктивності. Це займе час, щоб побачити, як ці ядра та їхніх правонаступників, карта проти майбутніх продуктів Apple - але X86 не вийшли з цього бою.

Чому RISC проти CISC є неправильним способом порівняти X86, ручний Cpus

Коли Паттерсон і Дицел придумали RISC, і ЦВС вони мали намір уточнити дві різні стратегії дизайну ЦП. Сорок років, терміни незрозумілі стільки, скільки вони уточнюють. RISC і CISC не є безглуздим, але сенс і придатність обох термінів стали дуже контекстними.

RISC проти CISC - це неправильна лінза для порівняння сучасного X86, ручного процесора

Проблема з використанням RISC проти CISC як об'єктив для порівняння сучасного X86 проти CPUS - це те, що він приймає три специфічні атрибути, які мають значення, до X86 проти порівняння рухів - технологічний вузол, мікроархітектура, а ISA - придушує їх до одного, а потім заявляє Рука перевершує на підставі isa самостійно. "ISA-центральний" проти "впровадження центри" є кращим способом розуміння теми, за умови, що він пам'ятає, що існує діаграма Venn узгоджених на важливих чинниках між двома. Зокрема:

ІСА-орієнтований аргумент визнає, що виробнича геометрія та мікроархітектура є важливими та були історично відповідальними за домінування X86 ПК, сервера та ринку HPC. Ця точка зору полягає в тому, що, коли переваги виробничої майстерності та встановлення бази контролюються або зменшуються, RISC - та розширенням, ARM CPUS - це, як правило, доведено, перевершує CPUS X86.

Аргумент, що реалізується, визнає, що ISA може і має значення, але це історично, мікроархітектура та геометрія технології мають значення. Intel все ще відновлюється з деяких найгірших затримок історії компанії. AMD все ще працює над покращенням REZEN, особливо в мобільному. Історично, як виробники X86 продемонстрували здатність ефективно конкурувати проти виробників процесорів RISC.

Враховуючи реальність циклів дизайну процесора, це буде кілька років, перш ніж ми дійсно маєте відповідь, до якої вищий аргумент. Одна різниця між напівпровідниковим ринком сьогодні та ринком 20 років тому полягає в тому, що TSMC набагато сильніше ливарного конкуренту, ніж більшість виробників RISC Intel, зіткнулася наприкінці 1990-х та початку 2000-х років. Команда Intel 7NM повинна бути під величезним тиском, щоб доставити цей вузол.

Ніщо в цій історії не слід читати, щоб означати, що КПУ руки не може бути швидшим і більш ефективним, ніж CPU x86. M1 та CPU, який буде слідувати від Apple та Qualcomm, представляють найбільш потужну конкурентну загрозу X86, за останні 20 років. Оглядова точка ISA-Cata може виявитися вірним. Але RISC проти CISC є відправною точкою для розуміння історичної різниці між двома різними типами сімей CPU, а не остаточне слово про те, як вони сьогодні порівнюють.

Цей аргумент явно йде. Бої, які викинулися, коли ура були найгарячішою річчю на телебаченні, як правило, мають багато перебування влади. Але розуміння своєї історії, сподіваюсь, допомагає пояснити, чому це недосконала лінза для порівняння процесора в сучасній епоху.

Примітка: Я не згоден з engheim на думку, що різні вимоги до RISC-подібних, зроблених виробниками X86, являють собою маркетинговий Ploy, але він написав деякі чудові історії щодо різних аспектів програмування та дизайну процесора. Я рекомендую свою роботу докладніше про ці теми.

Функція зображення Intel.

Читати далі

Apple M1 продовжує вражати в Cinebench R23, Affinity Photo
Apple M1 продовжує вражати в Cinebench R23, Affinity Photo

Нові тести Cinebench R23 покращують AMD у більш конкурентному світлі порівняно з M1, але SoC від Apple все ще вражає себе. Однак орієнтир Affinity Photo є основною перемогою M1.

Розробник Star Citizen представляє нову дорожню карту, скасовує ескадрилью 42 Beta
Розробник Star Citizen представляє нову дорожню карту, скасовує ескадрилью 42 Beta

Cloud Imperium Games скасувала бета-версію Squadron 42, яка повинна була дебютувати до кінця 2020 року, не маючи поточного плану або графіку її запуску.

DirectStorage Microsoft буде підтримувати PCIE 3.0, всі DX12-здатне обладнання
DirectStorage Microsoft буде підтримувати PCIE 3.0, всі DX12-здатне обладнання

Microsoft поділилася деякими подробицями щодо того, як його стандарт DirectStorage дозволить ПК підтримати той самий вид потоку текстури, доступний на Xbox серії S | X та PlayStation 5.

RISC проти CISC - це неправильна лінза для порівняння сучасного X86, ручного процесора
RISC проти CISC - це неправильна лінза для порівняння сучасного X86, ручного процесора

Спробуйте розслідувати відмінності між сім'ями процесорів X86 та ARM (або X86 та Apple M1), і ви побачите акроніми CISC та RISC. Це загальний спосіб рамки обговорення, але не дуже корисний. Сьогодні "RISC проти CISC" затьмарює більше, ніж це пояснює.