Інтеграція EUV на 5nm все ще ризикована, з основними проблемами вирішення

Інтеграція EUV на 5nm все ще ризикована, з основними проблемами вирішення

Напівпровідникові ливарне виробництво впливає на інтеграцію з екстремальною ультрафіолетовою літографією (EUV), але все ще є грізні бар'єри для розгортання технології на рівні 5 нм та менше.

Є кілька проблем, які EUV повинні вирішити (або продовжувати вирішувати), щоб служити заміною існуючих літографічних рішень 193 нм. ASML продовжує працювати над створенням плівки - мембрани, яка служить в якості пылесборного покриття над фотошаблоном - що не поглинає надто багато світла. Інструменти, такі як NXE 3400B, які ми бачили під час гастрольного огляду GlobalFoundries за кілька тижнів назад, мають вимоги щодо підтримки та встановлення, що супроводжують звичайні літографічні інструменти, і відповідну потребу додати значно кращі структури витрат, щоб виправдати власне використання.

Згідно з нещодавнім записом IEEE Spectrum, неприбутковий дослідницький центр Imec, який є провідним дослідницьким центром у літографії EUV, зазнав значних проблем при застосуванні EUV до технологій травлення на частоті 5 нм. Швидкість стохастичних ефектів значно вище, ніж це прийнятно для сучасного виробництва.

Стохастичний ефект - це ефект, який з'являється випадковим чином, не пов'язаний з певним рівнем дози, але ймовірність якого пропорційна силі дози. У напівпровідниках ці ефекти створюють дефекти, які можуть саботувати або пошкодити даний мікропроцесор. Те, що отримав Imec, говорить про те, що ці ефекти є досить поширеними на рівні 5 нм, що є головним бар'єром, що потребує нових підходів до метрології та додаткових технологічних рішень. Іноді пробіли між вузькими розшарованими траншеями були занадто вузькими, що призвело до так званих "мікрозв'язків" між функціями, які не повинні бути пов'язаними. Інші рази, необхідні функції не можуть друкувати.

GlobalFoundries дорожня карта для інтеграції EUV. Фото EETimes
GlobalFoundries дорожня карта для інтеграції EUV. Фото EETimes

GlobalFoundries показала діаграму, яка передбачає, що EUV може бути інтегрований поступово, починаючи з MOL (середнього рівня), перш ніж інтегруватися в інші галузі виробництва. У цій моделі EUV не бачить повної інтеграції до 2024 -2026. Як також розглядається EETimes, існують ще перешкоди для повного розгортання практично на кожному етапі процесу, від метрології до протистояння.

Проблема досконалості

Якщо ви хочете переглянути проблему на 10 000 футів, подумайте про це в таких умовах: уявіть, що вас попросили намалювати прямою лінією олівцем та папером. Більшість людей можуть намалювати розумно пряму лінію вільної руки. Тепер уявіть, що вас попросили намалювати все більше прямих ліній. По-перше, ви дійдете до лінійки. Якщо цього більше не вистачає, ви можете використовувати інші механічні посібники.

Врешті-решт, цього більше не вистачає. Ви можете перейти до роботизованої руки з ідеально підтримуваним зчепленням та каліброваними рухами та датчиками руху, які забезпечують ідеальне розташування лінії. В минулому, можливо, вам доведеться знайти новий тип інструменту для письма, здатний встановити позначку з ще меншою мінливістю до відхилення графіту. Ви можете інвестувати в спеціальний папір, який був розроблений для меншої дисперсії поверхні та вищої "площинності". Як прийнятна дисперсія в прямій лінії переходить від "очного яблука" до "нанометрів", інструменти, необхідні для створення цієї лінії, стають все складніше.

То, що дуже великою скороченою мовою, відбувається в сучасній літографії. EUV існує, тому що сучасні розміри об'єктів настільки малі, що вони не можуть бути зображені з існуючою літографії 193 нм, не покладаючись на декілька фотомасок, що різко збільшує вартість пристрою. Але EUV є таким принципово іншим підходом до того, що раніше було розгорнуто, створює цілком новий набір потенційних проблем та взаємодій з іншими матеріалами в процесі виробництва, а також всі інші проблеми, пов'язані зі скороченням вузлів процесу та пошуком інших способів щоб пакувати транзистори більш щільно разом. Оскільки розміри функцій зменшуються, дефекти, які раніше не мали впливу, загрожують дизайну. Це має значні наслідки для врожайності чіпів, що, в свою чергу, має суттєві наслідки для вартості чіпів.

Очікується, що EUV призведе до втрати літографічних витрат під контролем, врешті-решт. Цей слайд починається з 2014 року, але ясно показує тенденції. Розгляньте це як наочний приклад підвищення вартості.
Очікується, що EUV призведе до втрати літографічних витрат під контролем, врешті-решт. Цей слайд починається з 2014 року, але ясно показує тенденції. Розгляньте це як наочний приклад підвищення вартості.

Зображення, подібне до вищесказаного, показують, що літографія EUV різко знижує витрати, висуваються на думку про те, що ливарне виробництво може досягти рівних рівнів дозування з EUV і без нього. Якщо EUV дає бігти нижче стандартної літографії, це буде працювати безпосередньо проти будь-якого поштовху, щоб перемістити EUV в основний рух.

EUV є економічною програмою

Я хочу потрапити безпосередньо, тому що я бачив це питання в декількох історіях. У багатьох випадках, коли напівпровідникові компанії оголошують виробничий прорив, це означає, що продукція швидко зростає. EUV не має жодних наслідків для швидкості транзисторів, принаймні, не безпосередньо.

Причина, чому кожна людина висуває вплив на EUV, полягає в тому, що економіка виробництва напівпровідників відбувається на курсі зіткнення з фундаментальними межами літографії 193нм. Сучасні чіпи покладаються на декілька фотомасок для вилучення їхніх функцій, у процесі, який називається багатозадачний. Сьогодні чотирьохразовий шаблон зазвичай використовується для пристроїв із 14/16-нм. Але єдиний спосіб продовжувати рухатися вниз - це продовжувати вводити ще кілька кроків маски. Кожна маска коштує грошей, і кожна експозиція вимагає часу. Чипи, які раніше мали 30-40 кроків, можуть становити 70-90 сьогодні і більше сотні у майбутньому. Причиною тому, що ливарники продовжують заробляти значні суми доходу на старих вузлах процесу, тому що багато клієнтів не бачать жодної користі (і різко збільшених витрат) від переходу на нові вузли. Мульті-паттерн - це велика частина чому.

Розгортання EUV не просто очищає шлях для майбутнього вузла зменшується. Це згладжує витрати на вузлах, де він розгортається, і ми сподіваємося надати клієнтам, які інакше не будуть перешкоджати переходу на нові причини вузла, щоб це зробити. Ось чому компанії GF, Intel, Samsung та TSMC все частіше заважають впровадженню цієї технології навіть у тому випадку, коли Imec висловлює сигнал про тривогу. Це не є і / або ситуація. EUV має серйозні проблеми, які ще треба вирішити, і EUV - це необхідність для просування галузі.

Наступні роки будуть справжніми цікавими.

Читати далі

AT & T і Verizon погоджуються обмежити потужність 5G для вирішення зонд FAA
AT & T і Verizon погоджуються обмежити потужність 5G для вирішення зонд FAA

Обидва носії прагнуть вогонь своїх новоутворених частот C-смужкових груп. На жаль, розбіжність з Федеральною авіаційною адміністрацією (FAA) затримує ці плани. Тепер у них є план, який дозволить C-діапазону рухатися вперед на початку 2022 року.

Google подати до $ 2.4B в ЄС після вирішення проти конкуренції
Google подати до $ 2.4B в ЄС після вирішення проти конкуренції

Справжня вартість монопольних практик Google просто продовжує зростати.

AMD доставляє безкоштовні APU клієнтам для вирішення проблем з оновленням прошивки
AMD доставляє безкоштовні APU клієнтам для вирішення проблем з оновленням прошивки

Для нових процесорів необов'язково вимагати оновлення UEFI / BIOS, щоб працювати належним чином, але рішення AMD для даного питання - це чудовий сервіс на вищому рівні.

Verizon встановить широкосмугові мережі, настільні телефони для вирішення розслідування
Verizon встановить широкосмугові мережі, настільні телефони для вирішення розслідування

Компанія Verizon підписала угоду про виправлення тисяч телефонних стовпів, створення нових ліній волоконно-оптичних ліній і вирішення своїх відмінностей з Нью-Йорком.