RISC проти CISC - це неправильна лінза для порівняння сучасного X86, ручного процесора

RISC проти CISC - це неправильна лінза для порівняння сучасного X86, ручного процесора

З WWDC Apple скоро, ми очікуємо почути більше про оновлену компанію, ноутбук MacBook Pro. Чутки вказують на Apple, запуску шиферу модернізованих систем, на цей раз, засноване навколо його "M2" CPU, розширеної версії CORE M1, який дебютував у минулому році. M2, як повідомляється, полегшує восьми високопродуктивних сердечників та двох високопродуктивних сердечників, від 4 + 4 конфігурації в існуючих M1.

З запуском Arm-Battern M1 вийшов плот X86-проти порівняння рук та онлайн-дискусії порівняння та контрастуючи нові архітектури. У цих нитках ви часто побачите, що автори виховують два додаткові абревіатури: ЦІК та RISC. Зв'язування між "ARM проти X86" та "Цисп проти RISC" настільки сильна, кожна історія на першій сторінці результатів Google визначає першу з посиланням на другий.

Ця асоціація помилково свідчить про те, що "X86 проти ARM" можна чітко класифікувати в "Цик проти RISC", з X86, що є циклом та рукою RISC. Тридцять років тому це було правдою. Сьогодні це не так. Битва за те, як порівняти X86 CPU для процесорів, побудованих іншими компаніями, не є новим. Він тільки відчуває себе новим сьогодні, тому що X86 не мав сенсного архітектурного суперника протягом майже двох десятиліть. Рука може бути визначиною компанією RISC CPU, але сьогодні ці терміни приховують стільки, скільки вони уточнюються щодо сучасного стану X86 та рук.

RISC проти CISC - це неправильна лінза для порівняння сучасного X86, ручного процесора

Спрощена історія частин, які люди згодні

RISC - це термін, прикріплений Девід Паттерсон та Девід Діцел у своїй насінній папері 1981 року "Корпус для скороченого набору комп'ютера". Двоє чоловіків запропонували новий підхід до напівпровідникового дизайну, заснованого на спостережуваних тенденціях наприкінці 1970-х років, а масштабування, пов'язані з цим поточним процесором. Вони запропонували термін "CISC" - комплексний набір інструкцій - для опису багатьох різних архітектур КПУ вже існування, який не дотримувався принципів RISC.

Ця сприймана потреба в новому підході до проектування процесора, як виникнення, що обмежують продуктивність процесора CPU. Так звані конструкції CISC, включаючи оригінал 8086, були розроблені для боротьби з високою вартістю пам'яті шляхом переміщення складності в апаратне забезпечення. Вони підкреслювали щільність коду, а деякі інструкції виконували кілька операцій у послідовності на змінній. Як філософія дизайну, CISC намагалася покращити продуктивність, мінімізуючи кількість інструкцій, процесор повинен був виконати для виконання даного завдання. Архітектури набору інструкцій CISC, як правило, пропонується широкий спектр спеціалізованих інструкцій.

До кінця 1970-х років CSC CPU має ряд недоліків. Вони часто мали бути реалізовані у кількох чіпах, оскільки методи ВЛСІ (дуже велика масштабна інтеграція) періоду часу не змогли упакувати всі необхідні компоненти в єдиний пакет. Впровадження складних інструкцій, що встановлюють архітектур, за підтримки великої кількості рідко використовуваних інструкцій, споживаного місця вбивства та зниження максимально досягнутої тактової швидкості. Тим часом вартість пам'яті неухильно зменшується, що робить акцент на розмір коду менш важливим.

Паттерсон та Дідцель стверджували, що CSC CPUS все ще намагався вирішити проблеми з роздумом коду, які ніколи не значить. Вони запропонували принципово інший підхід до дизайну процесора. Зрозумівши, що переважна більшість інструкцій ЦІС пройшло невикористані (подумайте про це як застосування принципу Парето, або 80/20 правила), винахідники RISC запропонували набагато менший набір інструкцій з фіксованою довжиною, всі з яких буде завершено в Одиночний цикл. Хоча це призведе до процесора RISC, який виконує меншу роботу за інструкцію, ніж його конструктор Cisc, конструктори чіпів компенсують це, спрощуючи їх процесори.

Це спрощення дозволить транзисторним бюджетам витрачати на інші функції, такі як додаткові регістри. Розглянуті майбутні функції в 1981 році включені "Caches Caches, більші та швидші транзистори або навіть конвеєрні". Мета для процесора RISC полягала в тому, щоб виконати як близько до одного IPC (інструкція для циклу годин, вимірювання ефективності процесора) якомога швидше. Перерозподіляють ресурси в цій моді, автори стверджували, а кінцевий результат перевершив будь-який порівняльний дизайн Циска.

Для цих дизайнерських принципів не зайняло багато часу, щоб довести свою цінність. R2000, представлений MIPS у 1985 році, був здатний підтримувати IPC близько до 1 за певних обставин. Ранні сім'ї CPU RISC, такі як Sparc та HP PA-RISC, також встановлюють записи про виконання. Наприкінці 1980-х і початку 1990-х років було спільним, щоб почути, що люди, як кажучи, такі, як X86, були існуючими, і, можливо, досить добре для домашнього обчислення, але якщо ви хочете працювати з реальним процесором, ви купили чіп RISC . Центри даних, робочі станції та HPC, де RISC CPUS були найбільш успішними, як показано нижче:

RISC проти CISC - це неправильна лінза для порівняння сучасного X86, ручного процесора

Розглянемо те, що таке зображення говорить про стан ринку процесора в 1990 році. До 1990 року, X86 обмежував не-X86 CPUS до 20 відсотків персонального ринку комп'ютера, але практично не вистачає X86 у центрах даних, а в HPC. Коли Apple хотів зробити ставку на конструкцію процесора наступного покоління, він вирішив зробити ставку на PowerPC у 1991 році, оскільки вважає, що високопродуктивний процесор, побудований за принципами RISC, - це майбутнє обчислення.

Угода про взаємну історію Цисп проти RISC зупиняється на початку 1990-х років. Той факт, що архітектура Intel X86 продовжувала домінувати обчислювальну промисловість через ПК, центри обробки даних та високопродуктивних обчислень (HPC) безперечно. Що таке спірне, чи досягнуто Intel та AMD, прийнявши певні принципи дизайну RISC, або якщо їхні вимоги зробити це було.

Відвідальні перегляди

Однією з причин того, чому такі терміни, такі як RISC та CISC, погано розуміються, - це тривалий розбіжності щодо сенсу та характеру певних процесорних процесор. Пара цитат ілюструє проблему:

По-перше, ось Павло демонструє з RealWorldTech, в "RISC проти CISC все ще має значення:"

Кампанія для обфускації чіткого відмінності між RISC та CISC перейшла у високу передачу з появою сучасного процесора процесора X86, використовуючи закріплені слова контролю за фіксованою довжиною, щоб керувати шляхами виконання даних поза замовленнями ... "RISC та CISC зближення" точка зору є принципово недосконала концепція, яка повертається до запуску I486 у 1992 році і вкорінена широкомасштабним незнанням різниці між інструкціями, встановленими архітектурами та деталями реалізації фізичного процесора.

Навпаки, ось Джон "Ганнібал" Стокс у "RISC проти CISC: ERA пост-RISC:"

До цього часу повинно бути очевидним, що абревіатури "RISC" та "Циска" Белі той факт, що обидва філософії розробляє набагато більше, ніж просто простота або складність набору інструкцій ... у світлі те, що ми зараз знаємо про історичну Розвиток RISC та CISC, і проблеми, які намагалися вирішити кожен підхід, повинен бути очевидним, що обидва терміни однаково безглузді ... Якби "RISC проти CISC" Дебати, що колись продовжували, вже довгий час, і те, що тепер треба дотримуватися Чи є більш нюансована та набагато цікава дискусія, яка бере кожну платформу-апаратне та програмне забезпечення, ISA та реалізацію за власними заслугами.

Жодна з цих статей не є новим. Стаття Stokes була написана у 1999 році, демонструюсь у 2000 році. Я цитував від них, обидва, щоб продемонструвати, що питання про те, чи RSC проти відмінності Циска є актуальним для сучасних обчислень, буквально більше 20 років. Джон Стокс - колишній співробітник мого і більше, ніж експерт, щоб не потрапити в "незнання", демонструє посилання.

Впровадження проти ISA

Два цитат вище захоплення двох різних поглядів на те, що це означає говорити про "Цисп проти RISC". Вид демонстрації широко схожа на погляд на Apple сьогодні. Зателефонуйте до цього центрального положення ISA-центрального.

Точка зору Stokes - це те, що, як правило, домінували мислення в ПК прес протягом останніх декількох десятиліть. Ми називаємо це положення впровадження. Я використовую слово "впровадження", оскільки це може контекстувати, як мікроархітектуру процесу, або технологічний вузол, який використовується для виготовлення фізичного чіпа. Обидва ці елементи мають відношення до нашої дискусії. Два позиції описуються як "центральний", тому що між ними перекривається. Обидва автори визнають і згодні з багатьма тенденціями, навіть якщо вони досягають різних висновків.

Відповідно до ISA-центрального положення, існують певні вроджені характеристики наборів інструкцій RISC, які роблять ці архітектури більш ефективними, ніж їхні двоюрідні кузики X86, включаючи використання інструкцій з фіксованим довжиною та дизайном завантаження / магазину. Хоча деякі з первісних відмінностей між CISC та RISC більше не є значущим, то орієнтовний погляд, що залишилися, залишаються детерміративними, наскільки це стосується продуктивності та енергоефективності між X86 та ARM, забезпечується порівняння яблук до яблук .

Ця перспектива ISA-орієнтується, що Intel, AMD та X86 виграли за допомогою MIPS, SPARC та POWER / PowerPC з трьох причин: Виробництво вищого процесу Intel, поступове зниження так званого "податку CISC" з плином часу, коли Intel's Superior Виготовлення ввімкнено, і ця бінарна сумісність зробила X86 більш цінною, оскільки його встановлена ​​база виріс, чи це найкращий ISA.

Оглядова точка впровадження виглядає сучасним процесором, оскільки вони були винайдені, такі як RISC, а ЦК були винайдені та стверджують, що ми працюємо з абсолютно застарілою парою категорій.

Ось приклад. Сьогодні, обидва x86, так і з високим рівнем ручного процесора, використовують виконання поза замовлення, щоб покращити ефективність процесора. Використовуючи кремній для повторного замовлення інструкцій на Fly для кращого виконання ефективності, цілком користуються з оригінальним дизайнерським філософією RISC. Паттерсон і Дідцел виступають за менш складним процесором, здатним працювати на більш високих тактових швидкостях. Інші загальні особливості сучасного ручного процесора, такі як SIMD-виконавчі підрозділи та прогнозування галузі, також не існували в 1981 році. Оригінальна мета RISC була для всіх інструкцій, щоб виконати в одному циклі, і більшість інструкцій з руками відповідають цьому правилу, але ARMV8 та ARMV9 ISAS містять інструкції, які приймають більше одного тактового циклу. Так роблять сучасний cpus x86.

Вид з реалізації, що впроваджує, стверджує, що покращення технологічного вузла та мікроархітектурних удосконалень дозволило X86, щоб закрити розрив з RISC CPUS, і що відмінності ISA не мають відношення до дуже низьких конвертів. Це точка зору, що підтримується вивченням 2014 року на ефективності ISA, яку я написав у минулому. Це точка зору, як правило, підтримується Intel і AMD, і це один я стверджував.

Але це неправильно?

Чи перетворювалися RISC та CISC?

Оглядова процедура впровадження полягає в тому, що процесор CISC та RISC розвивалися один до одного протягом десятиліть, починаючи з прийняття нових "RISC-подібних" методів декодування для X86 CPUS у середині 1990-х років.

Загальне пояснення йде так: На початку 90-х років, Intel та інші виробники процесора X86 зрозуміли, що поліпшення ефективності процесора у майбутньому вимагатиме більше, ніж більші кеші або швидкі годинники. Кілька компаній вирішили інвестувати в X86 процесорних процесорних мікроархітектур, які будуть змінювати свої власні інструкції потік на льоту, щоб поліпшити продуктивність. У рамках цього процесу, інструкції Rating X86 були подані в декодер X86, і перекладаються на "RISC-подібні" мікро-операції, перш ніж виконувати.

Це була звичайна мудрість вже понад два десятиліття, але останнім часом було оскаржено. У історії, опублікованому до середньої ще 2020 року, Ерік Енггейм написав: "Немає внутрішніх органів RISC в X86 фішок. Це просто маркетинговий прилад. " Він вказує на історію демонів, так і цитату Боб Коулвелл, головним архітектором за мікроархітектурою P6.

Мікроархітектура P6 була першою мікроархітежем Intel для здійснення виконання поза замовленням та рідним двигуном X86-MICRO-OP декодування. P6 було відправлено як Pentium Pro, і вона перетворилася на Pentium II, Pentium 3, а далі. Це дідусь сучасного x86 cpus. Якщо хтось повинен знати відповідь на це питання, це буде Коулвелл, тому ось те, що йому довелося сказати:

X86'S Intel не має двигуна RISC "під капотом". Вони реалізують архітектуру, що встановлює X86, за допомогою схеми декодування / виконання, що спирається на відображення інструкцій X86 у операції з машинами, або послідовності машинних операцій для складних інструкцій, а ці операції знаходять свій шлях через мікроархітектуру, підкоряючись різним правилам щодо залежності від даних та в кінцевому підсумку.

"Micro-OPS", який виконує цей подвиг, перевищує 100 бітів, несуть всілякі непарні відомості, не можуть бути безпосередньо створені компілятором, не обов'язково є єдиним циклом. Але, перш за все, вони є мікрохітектурою, - RISC / CISC - це про набір інструкцій, що встановлює архітектуру ... Micro-Op Idea не була "Risc-Inspired", "Risc-Like", або пов'язана з RISC взагалі. Це була наша команда дизайну, яка знайшла спосіб розірвати складність дуже складної інструкції, яка відбудеться від мікрохітектурних можливостей та обмежень, присутніх у конкурентному мікропроцесорі.

Справу закрито! Право?

Не зовсім. (Натисніть вище, для наближення того, як я відчуваю, коли навіть з'являється, щоб суперечити Боб Colwell)

Intel не був першим виробником процесора X86, щоб об'єднати фронт-декодер X86 з тим, що було стверджувало, що він був "стиль RISC-стилем". Nexgen, пізніше придбав AMD, був. NEXGEN 5 × 86 CPU дебютував у березні 1994 року, тоді як Pentium Pro не запустив до листопада 1995 року. Ось як Nexgen описав його процесор: "Процесор NX586 є першою реалізацією новаторської та запатентованої мікроархітектури RISC86". (Наголос додано). Пізніше компанія надає додаткову докладну інформацію: "Інноваційний підхід RISC86 динамічно перекладає інструкції X86 у інструкції RISC86. Як показано на малюнку нижче, NX586 користується перевагами принципів ефективності RISC. Завдяки середовищі RISC8, кожна блок виконання є меншим і більш компактним. "

Це все ще можна стверджувати, що це маркетинг говорить і не більше нічого, тому давайте поступово до 1996 року та AMD K5. K5, як правило, описується як фронтальний кінець x86, одружений на виконавчому бекендах AMD, запозичений з 32-розрядного мікро-контролера RISC, AM29000. Перш ніж ми перевіримо свою блок-діаграму, я хочу порівняти це проти оригінального Intel Pentium. Pentium, мабуть, є вершиною еволюції CISC X86, з огляду на те, що він реалізує як трубопровід, так і надширувальний процесор X86, але не перекладає інструкції X86 у мікро-операції та не вистачає виконання двигуна поза замовленнями.

RISC проти CISC - це неправильна лінза для порівняння сучасного X86, ручного процесора

Тепер порівнюйте Pentium проти AMD K5.

RISC проти CISC - це неправильна лінза для порівняння сучасного X86, ручного процесора

Якщо ви провели в будь-який час, дивлячись на мікропроцесорні блок-схеми, K5 повинен виглядати знайомим таким чином, щоб Pentium не. AMD купив Nexgen після запуску NX586. K5 був домашнім дизайном AMD, але K6 спочатку був продуктом Nexgen. З цієї точки вперед, CPU починає виглядати більше, як чіпси, з якими ми знайомі. І за словами інженерів, які розробили ці чіпси, подібність побігли більше, ніж глибока шкіра.

Девід Крісті від AMD опублікував статтю в IEEE Micro на K5 назад у 1996 році, що говорить про те, як він гібридизований RISC та Cisc:

Ми розробили мікро-ISA, засновану на наборі інструкцій 29000. Кілька додаткових полів керування розширили розмір мікроінструкції до 59 біт. Деякі з них спрощують і прискорюють логіку керування суперечками. Інші забезпечують X86-специфічну функціональність, яка є надто ефективною для синтезу з послідовностями мікроінструкцій. Але ці мікроразони все ще дотримуються основних принципів RISC: простий реєстр, щоб зареєструвати операції з кодуванням фіксованого кодування специфікацій регістра та інших полів, а також не більше однієї довідки пам'яті за операцію. З цієї причини ми називаємо їх операціями RISC, або ROPS для коротких (виражених R-OPS). Їх простий, загальний характер природи дає нам велику гнучкість у здійсненні більш складних операцій X86, допомагаючи зберегти логіку виконання відносно простим.

Однак найважливішим аспектом мікроархітектури РІСП, однак, полягає в тому, що складність набору інструкцій X86 зупиняється на декодері і в значній мірі є прозорою до основного виконання поза замовлення. Цей підхід вимагає дуже невеликої додаткової складності контролю за винятком того, що необхідний для спекулятивного вилучення RISC RISC для досягнення спекулятивного вилучення з Of-Of Of Of Offord X86. Послідовність ROP для вимикача завдань не виглядає більш складним, ніж для ряду простих інструкцій. Складність ядра виконання ефективно виділяється від складності архітектури, а не посилюється ним.

Крісті не заплутує різницю між ISA та деталями фізичної реалізації процесора. Він стверджує, що фізична реалізація є "RISC-подібною" значною та важливі способи.

K5 повторно використовуваних частин виконання задньої частини AMD розроблена для своєї сімейства CPUS RISC RISC, і він реалізує внутрішній набір інструкцій, який є більш високоякісним, ніж рідний X86 ISA. Методи стилю RISC-STYLE NEXGEN та AMD відносяться до цього періоду довідкових концепцій, таких як кеші даних, конвеєрні та суперечальні архітектури. Два з них - кешування та трубопровід - називаються паттерновим папером. Жодна з цих ідей не є строго RISC, але всі вони дебютували в RISC CPUS, і вони були перевагами, пов'язаними з RISC CPU, коли K5 був новим. Маркетинг цих можливостей як "RISC-Like" має сенс з тієї ж причини, що має сенс для OEMS епохи, щоб описати свої ПК як "IBM-сумісний".

Ступінь, до якої ці особливості є RISC, і відповідь на те, чи X86 CPUS декодує інструкції Risc-Style, залежить від критеріїв, які ви вирішили рамкувати питання. Аргумент більший, ніж Pentium Pro, навіть якщо P6 - це мікроархітектура, яка найбільше пов'язана з еволюцією методів, таких як двигун поза замовленням. Різні інженери в різних компаніях мали власні точки зору.

Як обтяжені CPU x86 в сучасній епоху?

Минуле ніколи не мертве. Це навіть не минуле. - Вільям Фулкнер

Настав час витягнути цю дискусію в сучасну епоху та розглянути, які наслідки цього "RISC проти CISC" порівняння для ARM та X86 CPUS фактично доставка сьогодні. Питання, яке ми дійсно просимо, коли ми порівнюємо AMD та Intel CPUS з Apple M1 та Future M2 полягає в тому, чи існують історичні вузькі місця X86, які дозволять ефективно конкурувати X86 з яблуко та майбутніми чіпсами від компаній, таких як Qualcomm?

За даними AMD та Intel: Ні. За рукою: Так. Оскільки всі компанії, які мають питання, мають очевидні конфлікти інтересу, я запитав Агнер туман.

Агнер туман - це датський еволюційний антрополог та комп'ютерний вчений, відомий для великих ресурсів, які він підтримує на архітектурі X86. Його мікроархітектурні посібники практично вимагають читання, якщо ви хочете зрозуміти низьку поведінку різних процесорів Intel та AMD:

ISA не є нерелевантним. X86 ISA дуже складний завдяки тривалій історії невеликих додаткових змін та патчів, щоб додати інші функції до ISA, яка насправді не мала ніякої для таких нових функцій ...

Складна X86 ISA робить декодування вузького місця. Інструкція X86 може мати будь-яку довжину від 1 до 15 байт, і це досить складно обчислити довжину. І ви повинні знати довжину однієї інструкції, перш ніж ви зможете декодувати наступну. Це, безумовно, проблема, якщо ви хочете декодувати 4 або 6 інструкцій за цикл годин! Обидва Intel, так і AMD тепер продовжують додавання більших кеш-пам'яток Micro-OP, щоб подолати це вузьке місце. Рука має інструкції з фіксованим розміром, тому це вузьке місце не існує, і немає потреби в мікро-операційному кеш-пам'яті.

Інша проблема з X86 полягає в тому, що він потребує довгого трубопроводу, щоб мати справу з складністю. Відгалуження невідповідності штрафу дорівнює довжині трубопроводу. Таким чином, вони додають все більш складні механізми прогнозування галузі з великими таблицями історії галузі та буферами цільових буферів. Все це, звичайно, вимагає більш кремнієвого простору та більше енергоспоживання.

X86 ISA досить успішний, незважаючи на ці тягар. Це тому, що він може зробити більше роботи на інструкцію. Наприклад, RISC ISA з 32-розрядними інструкціями не може завантажувати операнд пам'яті в одну інструкцію, якщо вона потребує 32 біт лише для адреси пам'яті.

У своєму мікроархітектурному посібнику Agner також пише, що більш останні тенденції в конструкціях CSC та Intel також слухали принципи CISC, щоб краще використовувати обмежені кешування коду, збільшити пропускну здатність трубопроводу та зменшити споживання енергії, зберігаючи менше мікро-операцій у трубопроводі . Ці покращення являють собою мікроархітектурні компенсації, які покращили загальну ефективність роботи та енергоефективність X86.

І ось, нарешті, ми приїжджаємо до серця питання: як важкий покарання виконують сучасні AMD та Intel CPUS за сумісність x86?

Декодова вузьке місце, прогнозування галузі та складність трубопроводів, які Агнер відноситься вище, є частиною "податку" ЦІС ", що руки стверджує X86. У минулому Intel і AMD сказали нам, що влада декодування є однозначним відсотком загального споживання енергії чіпів. Але це не означає, що це не означає, що процесор є палаючою потужністю для мікро-операційного кешу або складного гілочка, щоб компенсувати відсутність пропускної здатності декодування. Мікропровідне споживання електроенергії та енергоспоживання, що передбачається, визначається мікроархітектуром процесора та його вузлом технологічного процесу. "RISC проти CISC" не адекватно захоплює складність відносин між цими трьома змінними.

Це збирається зайняти кілька років, перш ніж ми знаємо, якщо M1 Apple та Future CPUS з Qualcomm представляють море зміну на ринку або наступний виклик AMD, і Intel зростуть. Якщо підтримка сумісності x86 - це тягар для сучасного процесора, є новим питанням, так і дуже старою. Нове, тому що до запуску M1 не було сенсу порівняння. Старий, тому що ця тема використовується досить трохи обговорення, коли в персональних комп'ютерах не використовувалися CPUS не-X86.

AMD продовжує покращувати Zen на 1.15x - 1.2x на рік. Ми знаємо, що Олдер-Олексія Intel також використовуватиме низькодобову X86 CPU Cores, щоб покращити споживання енергії. Обидва виробники X86 продовжують розвивати свої підходи до продуктивності. Це займе час, щоб побачити, як ці ядра та їхніх спадкоємців, карта проти майбутніх продуктів Apple - але X86 не вийшли з цього бою.

Чому RISC проти CISC є неправильним способом порівнювати X86, ручний CPUS

Коли Паттерсон і Діцел придумав RISC, і CISC вони мали намір уточнити дві різні стратегії дизайну ЦП. Сорок років, терміни незрозумілі стільки, скільки вони уточнюють. RISC і CISC не є безглуздими, але сенс і придатність обох термінів стали дуже контекстними.

RISC проти CISC - це неправильна лінза для порівняння сучасного X86, ручного процесора

Проблема з використанням RISC проти CISC як лінзу для порівняння сучасного X86 проти CPUS, полягає в тому, що він приймає три специфічні атрибути, які мають значення до X86 проти порівняння рухів - технологічний вузол, мікроархітектура, а ISA - роздавши їх до одного, а потім заявляє Рука перевершує на підставі isa самостійно. "ISA-Centric" проти "впровадження" є кращим способом розуміння теми, за умови, хто пам'ятає, що існує діаграма Венна узгоджених на важливих факторів між двома. Зокрема:

ІСА-орієнтований аргумент визнає, що виробнича геометрія та мікроархітектура є важливими та були історично відповідальними за домінування X86 ПК, сервера та ринку HPC. Ця точка зору полягає в тому, що, коли переваги виробничої майстерності та встановлення бази контролюються або зволоженими, RISC - і шляхом розширення, крізь процедур - це, як правило, виявиться переважним до X86 CPU.

Впровадження-центральний аргумент визнає, що ISA може і має значення, але це історично, мікроархітектура та геометрія технології мають значення. Intel все ще відновлюється від деяких найгірших затримок історії компанії. AMD все ще працює над покращенням REZEN, особливо в мобільних. Історично, обидва виробники X86 продемонстрували здатність ефективно конкурувати проти виробників процесорів RISC.

З огляду на реальність циклів дизайну процесора, це буде кілька років, перш ніж ми дійсно маєте відповідь, до якої виникає аргумент. Одна різниця між напівпровідниковим ринком сьогодні та ринком 20 років тому полягає в тому, що TSMC набагато сильніше ливарного конкуренту, ніж більшість виробників RISC Intel, зіткнулася наприкінці 1990-х років, і на початку 2000-х років. Команда Intel 7NM має бути під величезним тиском, щоб доставити цей вузол.

Ніщо в цій історії не слід читати, щоб означати, що ручний процесор не може бути швидшим і більш ефективним, ніж X86 CPU. M1 та CPU, який буде слідувати від Apple та Qualcomm, представляють найбільш потужну конкурентну загрозу X86, зіткнулися за останні 20 років. Оглядова точка ISA-Catra може виявитися вірним. Але RISC проти CISC є відправною точкою для розуміння історичної різниці між двома різними типами сімей CPU, а не остаточне слово про те, як вони сьогодні порівнюють.

Цей аргумент явно йде. Бої, що викинулися, коли ура були найгарячішою річчю на телебаченні, як правило, мають багато перебування влади. Але розуміння своєї історії, сподіваюсь, допомагає пояснити, чому це недосконала лінза для порівняння процесора в сучасній епоху.

Примітка. Я не згоден з engheim на думку, що різні вимоги до RISC, зроблені виробниками X86, являють собою маркетинговий Ploy, але він написав деякі відмінні історії щодо різних аспектів програмування та дизайну процесора. Я рекомендую свою роботу докладніше про ці теми.

Функція зображення Intel.

Читати далі

Поточні виміри продуктивності x86 порівняно з Apple M1 неправомірні
Поточні виміри продуктивності x86 порівняно з Apple M1 неправомірні

Існує внутрішня різниця між процесорами процесорів x86 та ARM, що ускладнює порівняння продуктивності - і це не помітили в початковій хвилі покриття.

Порівняння Apple M1, A14 показує відмінності в дизайні SoC
Порівняння Apple M1, A14 показує відмінності в дизайні SoC

Новий аналіз M1 розбиває дизайн матриці порівняно зі смартфоном класу A14 SoC.

RISC проти CISC - це неправильна лінза для порівняння сучасного X86, ручного процесора
RISC проти CISC - це неправильна лінза для порівняння сучасного X86, ручного процесора

Спробуйте розслідувати відмінності між сім'ями процесора X86 та ARM (або X86 та Apple M1), і ви побачите акроніми CISC та RISC. Це загальний спосіб рамки обговорення, але не дуже корисний. Сьогодні "RISC проти CISC" затьмарює більше, ніж це пояснює.

AMD рекламує продуктивність Радеона на долар/ватт порівняно з NVIDIA
AMD рекламує продуктивність Радеона на долар/ватт порівняно з NVIDIA

Здається, AMD запускає нову маркетингову кампанію, що розширює чесноти його графічних процесорів порівняно з Nvidia, коли мова йде про ефективність та удар за долар.