RISC VS. CISC не является неправильным объективом для сравнения современного X86, ARM CPU

RISC VS. CISC не является неправильным объективом для сравнения современного X86, ARM CPU

Вскоре с WWDC Apple появится в ближайшее время, мы ожидаем узнать больше о обновленном обновлении компании MacBook Pro MacBook. Слухи указывают на Apple, запускающие шифер модернизированных систем, на этот раз на основе его CPU «M2», масштабированной версии ядра M1, который дебютировал в прошлом году. M2 может, как сообщается, обладает восемью высокопроизводительными ядрами и двумя высокоэффективными сердечниками, вверх из конфигурации 4 + 4 в существующем M1.

С запуском M1 на основе ARM пришла к совокупности X86-VOUS-ARM и онлайн-обсуждения, сравнивающих и контрастную новую архитектуру. В этих потоках вы часто видите, что авторы поднимают две дополнительные аббревиатуры: CISC и RISC. Связь между «ARM VERSUS X86» и «CISC по сравнению с RISC» настолько прочна, каждая единственная история на первой странице результатов Google определяет первую со ссылкой на вторую.

Эта ассоциация по ошибке предполагает, что «X86 против ARM» можно аккуратно классифицировать в «CISC против RISC», с помощью X86, будучи CISC и ARM RISC. Тридцать лет назад это было правдой. Это не так сегодня. Битва над тем, как сравнить X86 CPU для процессоров, построенных другими компаниями, не новая. Это только новое сегодня, потому что X86 не имел значимого архитектурного соперника почти на два десятилетия. ARM может заметно определить себя как компанию RICH CPU, но сегодня эти термины скрывают столько, сколько они проявляют в отношении современного штата X86 и ARM CPU.

RISC VS. CISC не является неправильным объективом для сравнения современного X86, ARM CPU

Упрощенная история частей люди согласны на

RICH - это термин, придуманный Дэвидом Паттерсоном и Дэвидом Дитзелем в своей семенной бумаге 1981 года «Чехол для уменьшенного компьютера набора инструкций». Двое мужчин предложили новый подход к полупроводниковому дизайну, основанный на наблюдаемых тенденциях в конце 1970-х годов, и проблемы масштабирования, встречающихся потом ток CPU. Они предложили термин «CISC» - сложный компьютер набора инструкций - описать многие из различных архитектур процессоров, уже существовали, которые не следовали принципам РСС.

Эта воспринимаемая потребность в новом подходе к дизайну процессора пришла в виде узких мест, ограничивающих производительность ЦП. Так называемые конструкции CISC, в том числе оригинал 8086, были разработаны для решения высокой стоимости памяти, перемещая сложность в оборудовании. Они подчеркивали плотность кода, а некоторые инструкции выполняли несколько операций в последовательности в переменной. Как дизайн философии, CISC пытался повысить производительность, минимизируя количество инструкций, который должен был выполнить процессор, чтобы выполнить заданную задачу. Архитектура инструкций CISC CISC Обычно предложила широкий спектр специализированных инструкций.

К концу 1970-х годов CSC CPU был несколько недостатков. Они часто должны были быть реализованы на нескольких чипах, потому что методы VLSI (очень крупномасштабная интеграция) периода времени не смогли упаковать все необходимые компоненты в один пакет. Реализация сложных архитектур набора инструкций, при поддержке большого количества редко используемых инструкций, потребляемого пространства умирают и пониженные максимальные достижимые часовые скорости. Между тем стоимость памяти неуклонно уменьшается, делая акцент на размере кода менее важно.

Паттерсон и Дицел утверждали, что процессоры CISC все еще пытались решить проблему в раздувании кода, которые никогда не были вполне материализованы. Они предложили принципиально другой подход к дизайну процессора. Понимая, что подавляющее большинство инструкций CISC было неиспользовано (думать об этом как на применение принципа Парето, или 80/20 правила), изобретатели RITC предложили гораздо меньший набор инструкций с фиксированной продолжительностью, все это будет завершено в Один тактный цикл. Хотя это приведет к тому, что CPU RSC, выполняющий меньше работы за инструкцию, чем его коллеги CISC, дизайнеры чипов компенсируют это, упрощая свои процессоры.

Это упрощение позволит тратить транзисторные бюджеты на другие функции, такие как дополнительные регистры. Предлагаемые будущие функции в 1981 году включали «на чипках кеши, большие и быстрые транзисторы или даже трубопровод». Цель процессоров RISC заключалась в том, чтобы выполнить как можно ближе к одному IPC (инструкции на часовой цикл, меру эффективности процессора), максимально быстро. Реализуйте ресурсы в этой моде, авторы утверждали, а конечный результат превзойдет любой сравнительный дизайн CISC.

Это не потребовалось много времени для этих принципов дизайна, чтобы доказать свою ценность. R2000, введенный MIPS в 1985 году, был способен поддерживать IPC, близкую к 1 в определенных обстоятельствах. Ранние семейства процессоров RISC, такие как SPARC и семья PA-RISC PA-RISC, также устанавливают записи о производительности. В конце 1980-х и начале 1990-х годов было общим слышать, что люди говорят, что архитектуры, основанные на CISC, такие как X86, были прошлыми, и, возможно, достаточно хороши для домашних вычислений, но если вы хотели работать с настоящим процессором, вы купили чип RISC Отказ Центры обработки данных, рабочие станции и HPC - это то, где RISC CPU были наиболее успешными, как показано ниже:

RISC VS. CISC не является неправильным объективом для сравнения современного X86, ARM CPU

Подумайте о том, что говорится в этом изображении о состоянии рынка процессора в 1990 году. К 1990 году X86 ограничивало процессоры Non X86 до 20 процентов от персонального компьютерного рынка, но оно практически не было никакой доли X86 в центрах обработки данных и нет в ГПК. Когда Apple хотела сделать ставку на конструкцию CPU следующего поколения, он решил сделать ставку на PowerPC в 1991 году, потому что он считал высокопроизводительными процессорами, построенными вдоль принципов RISC, было будущее вычислений.

Соглашение о взаимной истории CISC и RISC останавливается в начале 1990-х годов. Тот факт, что архитектура Intel X86 продолжала доминировать в вычислительной отрасли через ПК, центры обработки данных, а также высокопроизводительные вычисления (HPC), бесспорный. Что оспаривается, достигнут ли INTEL и AMD, приняв определенные принципы дизайна RISC или, если их претензии сделать это, были ложью.

Расходящиеся взгляды

Одна из причин, почему термины, такие как RISC и CISC, плохо понимаются, является из-за давнего несогласия относительно смысла и характера некоторых событий ЦП. Пара цитат проиллюстрирует проблему:

Во-первых, вот Пол Demone от Realworldtech, в «RISC VS. CISC все еще имеет значение:»

Кампания по оформлению четкого различия между RISC и CISC переместилась на высокую передачу с появлением современных реализаций процессора X86, используемых словами, использующими словами, выполняющие элементы управления длиной, чтобы управлять путями данных о выполнении заказа ... «RISC и CISC сходятся» Принципиально ошибочная концепция, которая возвращается к запуску I486 в 1992 году и укоренившись к широкому незнанию различий между архитектурами набора инструкций и деталями реализации физического процессора.

Напротив, вот Jon «Hannibal» Stokes в «RISC VS. CISC: ERA Post-Risc:»

К настоящему времени следует очевидно, что аббревиатуры «RISC» и «CISC», о том, что оба дизайна философии имеют гораздо больше, чем просто простота или сложность набора инструкций ... В свете того, что мы теперь знаем о историческом Разработка RISC и COSC и проблемы, которые каждый подход пытался решить, теперь следует очевидно, что оба термины одинаково бессмыслены ... какой бы ни был дискуссию «RISC VS. CISC», которые когда-то продолжались, давно закончилось, и что теперь нужно следовать Является более нюансированным и гораздо более интересным обсуждением, которое требует каждая платформа-оборудование и программное обеспечение, ISA и внедрение - на собственные достоинства.

Ни одна из этих статей не является новым. Статья Stokes была написана в 1999 году, в 2000 году «Демоне» в 2000 году. Я процитировал из них оба, чтобы продемонстрировать, что вопрос о том, является ли RISC против различия CISC, имеет отношение к современным вычислениям, буквально более 20 лет. Джон Стокс - бывший собеседник моего и более чем экспертной, чтобы не попасть в «невежество» ловушку демонстрации.

Реализация против ИСА

Две цитаты выше, захватывают два разных взгляда на то, что значит говорить о «CISC против RISC». Взгляд демона в целом похож на взгляд на руку или яблоко. Назовите это ISA-ориентированное положение.

Точка зрения STOKES - это то, что вообще преобладало думать на PC Press в течение последних нескольких десятилетий. Мы будем называть это позицией, ориентированной на реализацию. Я использую слово «Реализация», поскольку он может контекстуально относиться к микроархитектуру как микроархитектуры ЦП, либо в узле процесса, используемого для изготовления физического чипа. Оба этих элемента имеют отношение к нашему обсуждению. Две позиции описываются как «ориентированные», потому что между ними есть перекрытие. Оба автора признают и согласуются со многими тенденциями, даже если они достигают разных выводов.

Согласно ISA-ориентированному положению, существуют определенные врожденные характеристики наборов инструкций RISC, которые делают эти архитектуры более эффективными, чем их кузены X86, включая использование инструкций по фиксированной длине и дизайн нагрузки / магазина. Хотя некоторые из оригинальных различий между CISC и RISC более не имеют смысла, ISA-ориентированный вид считает, что остальные различия по-прежнему определяют, что касается производительности и эффективности мощности между X86 и ARM, предоставляют сравнение яблок к яблокам. Отказ

Эта ISA-ориентированная перспектива проводит, что Intel, AMD и X86 выиграли через MIPS, SPARC и Power / PowerPC по трем причинам: превосходное производство процессов Intel, постепенное снижение так называемого «налога в CISC» с течением времени, когда превосходный Изготовление включено, и что двоичная совместимость сделала x86 более ценным, поскольку его установка выросла, была ли это лучшее ISA.

Точка зрения, ориентированная на реализацию, смотрит на то, как создали современные процессоры, поскольку были изобретены условия, такие как Risc и CISC, были изобретены и утверждаются, что мы работаем с совершенно устаревшей парой категорий.

Вот пример. Сегодня и X86, так и высококачественные процессоры ARM используют выполнение вне порядка для улучшения производительности процессора. Использование кремния для повторного заказа инструкций на лету для лучшего эффективности исполнения полностью наступает в результате исходной философии дизайна RISC. Patterson и Ditzel выступают за менее сложный CPU, способный работать на более высоких частотах. Другие распространенные особенности CPU CPU современного ARM, такие как единицы исполнения SIMD и предсказание ветвления, также не существовали в 1981 году. Оригинальная цель РБС была для всех инструкций для выполнения в одном цикле, и большинство инструкций ARM соответствуют этому правилу, но ISAS ARMV8 и ARMV9 содержит инструкции, которые требуют более одного цикла такса для выполнения. Так что делайте современные процессоры X86.

Представление, ориентированное на реализацию о том, что комбинация улучшений улучшений процессов и улучшений микроархитектуры позволила X86 закрыть разрыв с процессором RISC давно и что различия на уровне ISA не имеют значения выше очень низкой энергетической конверсии. Это точка зрения, поддерживаемая исследованием 2014 года по эффективности ISA, о котором я написал в прошлом. Это точка зрения, как правило, поддерживается Intel и AMD, и это одна я уже спорил.

Но это неправильно?

Собраняется развитие RISC и CISC?

Просмотр, ориентированное на реализацию заключается в том, что процессоры CISC и RICH и RICH были развиты друг к другу в течение десятилетий, начиная с принятия новых «аналогичных» методов декодирования «RISC» для процессоров X86 в середине 1990-х годов.

Общее объяснение идет так: в начале 1990-х годов Intel и другие производители процессоров X86 поняли, что улучшение производительности процессоров в будущем потребуется больше, чем большие кэши или быстрые часы. Несколько компаний решили инвестировать в микроархитектуры CPU CPU X86, которые будут заменять свои собственные ручьи инструкций на лету, чтобы улучшить производительность. Как часть этого процесса, нативные инструкции X86 были поданы в декодер X86 и переведены на «resc-подобные» микрооперации, прежде чем быть выполненным.

Сейчас это было обычная мудрость в течение двух десятилетий, но в последнее время она была оспорена в последнее время. В истории, размещенной в среднем обратно в 2020 году, Эрик Энгхайм писал: «В фишках X86 нет внутренних чипов RISC. Это просто маркетинговая уловка ». Он указывает на оба истории демона, так и цитаты Боб Колвелл, главным архитектором за микроархитектурой P6.

Микроархитектура P6 была первой Intel MicroArchecture для реализации выполнения вне заказа и нативный механизм X86-Micro-OP DeCode. P6 был отправлен в виде Pentium Pro, и он превратился в Pentium II, Pentium 3 и за его пределами. Это дедушка современного CPU CPUS. Если кто-то должен знать ответ на этот вопрос, это будет Колвелл, поэтому вот что он должен был сказать:

У Intel X86 нет двигателя RISC "под капотом". Они реализуют архитектуру набора инструкций x86 через схему декодирования / выполнения, полагаясь на отображение инструкций X86 в машинные операции или последовательности операций машин для сложных инструкций, и эти операции, которые затем проходят через микроархитектуру, подчиняющиеся различным правилам зависимостей данных и в конечном итоге время секвенирование.

«Micro-Ops», которые выполняют этот подвиг, имеют ширину более 100 битов, несут все виды нечетной информации, не могут быть непосредственно сгенерированы компилятором, не обязательно являются одиноким циклом. Но самое все, что они являются артефайцем микроархитектуры - RISC / CISC - это risc / CISC, касается архитектуры набора инструкций ... Идея Micro-Op не была «вдохновленной RSC», «RISC-Alude» или вообще связана с Risc. Это была наша команда дизайна, находящей способ нарушения сложности очень сложной инструкции, установленной вдали от возможностей микроархитектуры и ограничений, присутствующих в конкурентном микропроцессоре.

Дело закрыто! Верно?

Не совсем. (Нажмите выше для приближения того, как я чувствую, когда даже появляюсь, чтобы противоречить Бобу Колвелле)

Intel не был первым производителем CPU CPU X86 для совместного объединения линейного декодера X86 с тем, что было заявлено, что он был занесен «Стиль RISC». Нексген, позже приобретенный AMD, был. DEXGEN 5 × 86 CPU дебютировал в марте 1994 года, в то время как Pentium Pro не будет запустить до ноября 1995 года. Вот как Nexgen описал свой процессор: «Процессор NX586 - это первая реализация инновационного и запатентованного микроархитектуры Nexgen». (Акцент добавлен). Позже компания дает несколько дополнительных деталей: «Инновационный подход Riss86 динамически переводит инструкции X86 в инструкции RISC86. Как показано на рисунке ниже, NX586 использует преимущества принципов производительности RISC. Благодаря окружающей среде RISCH86 каждый блок выполнения меньше и компактнее ».

Все еще можно утверждать, что это маркетинг говорит и ничего более, так что давайте наступим до 1996 года и AMD K5. K5, как правило, описывается как фронт-конце X86, женат на исполнении Backend AMD, заимствованной из 32-битного микроконтроллера RISC, AM29000. Прежде чем мы проверим свою блок-схему, я хочу сравнить его против оригинального Intel Pentium. Pentium, возможно, Pentnacle Evolution CISC X86, учитывая, что она реализует как кондиционирование, так и сверхсказание в CPU X86, но не преобразует инструкции X86 в Micro-OPS и не хватает механизма выполнения вне заказа.

RISC VS. CISC не является неправильным объективом для сравнения современного X86, ARM CPU

Теперь сравните Pentium против AMD K5.

RISC VS. CISC не является неправильным объективом для сравнения современного X86, ARM CPU

Если вы потратили в любое время, глядя на микропроцессорные блок-диаграммы, K5 должен выглядеть знакомым таким образом, что Pentium не имеет. AMD купил Nexgen после запуска NX586. K5 был домашним дизайном AMD, но изначально был продуктом Nexgen. С этого момента вспомогательные процессоры начинают больше похожи на чипсы, на которые мы знакомы сегодня. И, по словам инженеров, которые спроектировали эти чипы, сходства побежали больше, чем кожа глубоко.

Дэвид Кристи AMD опубликовал статью в IEEE Micro на K5 обратно в 1996 году, которая говорит о том, как он гибридизовал RISC и CISC:

Мы разработали микро-ISA, основанное на основе набора инструкций 29000. Несколько дополнительных полей управления расширили размер микроинструкции до 59 битов. Некоторые из них упрощают и ускоряют логику управления SuperScalar. Другие предоставляют X86-специфические функции, которые являются слишком эффективными, критически важными для синтеза с последовательностями микро инструкции. Но эти микроинструкции по-прежнему придерживаются основных принципов RISC: простой реестр - для регистрации операций с фиксированной кодировкой указателей регистров и других полей, и не более одной ссылки на память на операцию. По этой причине мы называем их операциями RISC или ROPS для коротких (выраженных R-OPS). Их простая, общенаправленная природа дает нам большую гибкость в реализации более сложных операций X86, помогая сохранить логику выполнения относительно простым.

Однако наиболее важный аспект микроархитектуры RISC, однако, заключается в том, что сложность набора инструкций X86 останавливается на декодере и в значительной степени прозрачна для выполнения ядра вне заказа. Этот подход требует очень мало дополнительной сложности контроля за пределами того, что необходимы для спекулятивных выступлений RISC RISC, для достижения спекулятивного выхода X86. Последовательность ROP для коммутатора задач выглядит не более сложной, чем для строки простых инструкций. Сложность сердечника выполнения эффективно изолирована от сложности архитектуры, а не усугубляется этим.

Кристи не путает разницу между ISA и деталями физической реализации ЦП. Он утверждает, что физическая реализация сама по себе «RISC - подобная» в значительных и важных способах.

Повторные б / у RE-END-END-END-END AMD AMD, разработанные для его семейства RSC CPU AM29000, и он реализует внутренний набор инструкций, который является более RISC-подобным, чем нативные X86 ISA. Техника «Стиль RISC Nexgen и AMD» относятся в течение этого периода опорных концепций, таких как кэширования данных, трубопроводы и суперскалярные архитектуры. Два из них - кеши и трубопроводы - названы в документе Паттерсона. Ни одна из этих идей не является строго Risc, но все сначала все дебютировала в процессоре RISC, и они были преимуществами, связанными с RISC CPU, когда K5 был новым. Маркетинг этих возможностей как «RISC-подобное» имел смысл по той же причине, имел смысл для OEM эпохи, чтобы описать свои ПК как «IBM-совместимый».

Степень, в которой эти функции RISC и ответ на то, следует ли X86 CPU Decode Risc-образные инструкции зависит от критериев, которые вы выбираете, чтобы оказать вопрос. Аргумент больше, чем Pentium Pro, даже если P6 является микроархитектурой, наиболее связанным с эволюцией методов, таких как механизм выполнения вне заказа. Различные инженеры в разных компаниях имели свои собственные точки зрения.

Насколько обременены X86 процессорами в современной эпоху?

Прошлое никогда не мертво. Это даже не прошлое. - Уильям Фолкнер

Пришло время тянуть эту дискуссию в современную эпоху и подумать о том, какие значения сравнения этого «RISC по сравнению с CISC» предназначены для ARM и CPU CPUS X86 на самом деле доставки сегодня. Вопрос, который мы действительно спрашиваем, когда мы сравниваем CPU AMD и Intel с M1 Apple M1 и будущим M2, заключается в том, есть ли исторические узкие места X86, которые предотвратит эффективное количество конкурентов X86 с Apple и Bute Cheap от компаний, таких как Qualcomm?

Согласно AMD и Intel: Нет. По данным ARM: Да. Поскольку все в вопросе компаний имеют очевидные конфликты, представляющие интерес, вместо этого я попросил Агнер Туман.

Агнер Туман - датский эволюционный антрополог и компьютерный ученый, известный обширных ресурсах, которые он поддерживает на архитектуре X86. Его микроархитектурные руководства практически требуются чтением, если вы хотите понять низкоуровневое поведение различных Intel и AMD CPU:

ISA не имеет значения. ISA X86 очень сложно из-за длительной истории небольших инкрементных изменений и исправлений, чтобы добавить больше функций для ISA, которые действительно не имели места для таких новых функций ...

Сложный X86 ISA делает декодирование узкого места. Инструкция X86 может иметь любую длину от 1 до 15 байтов, и она вполне сложна для расчета длины. И вам нужно знать длину одной инструкции, прежде чем вы сможете начать декодировать следующий. Это, безусловно, проблема, если вы хотите декодировать 4 или 6 инструкций на тактовой цикл! И Intel и AMD теперь продолжают добавлять более крупные кеши микро-оп, чтобы преодолеть это узкое место. ARM имеет фиксированные инструкции, чтобы это узкое место не существует, и нет необходимости в кэше микро-OP.

Еще одна проблема с X86 заключается в том, что ему нужен длинный трубопровод, чтобы иметь дело с сложностью. Наказание по неправильной ветви равен длине трубопровода. Таким образом, они добавляют постоянно сложные механизмы прогнозирования ветвления с крупными столами истории ветви и целевыми буферами ветви. Все это, конечно, требует более кремниевого пространства и большее потребление энергии.

X86 ISA довольно успешна, несмотря на эти бремя. Это потому, что это может сделать больше работы за обучение. Например, RICH ISA с 32-разрядными инструкциями не может загрузить операнду памяти в одну инструкцию, если ему нужны 32 бита только для адреса памяти.

В своем микроархитектурном руководстве Agner также пишет, что более поздние тенденции в разработке AMD и Intel CPU оказались в результате прослушивания к принципам CISC, чтобы лучше использовать ограниченные кэширует Code Caches, увеличить пропускную способность трубопровода и уменьшить потребление мощности, сохраняя микро-оппос Отказ Эти улучшения представляют микроархитектурные смещения, которые улучшили общую производительность и эффективность и энергоэффективность X86.

А вот, наконец, мы приходим в сердце вопроса: насколько тяжелый штраф делает современные AMD и Intel CPU за счет совместимости X86?

Узкое место декодирования, прогноз ветвления и сложности трубопроводов, которые Agner относится к выше, являются частью «налога на CISC», которую Arm Arms утверждает X86. В прошлом Intel и AMD заявили, что Power Power Decode - это однозначный процент общего потребления чипов. Но это не значит много значит, если CPU сжигается мощность для микропрепочечного кэша или сложного предиктора ветви, чтобы компенсировать недостаток полосы пропускания декодирования. Микрооперативное энергопотребление в кеше и энергопотребление прогнозирования ветвления определяется микроархитектурой CPU и его узлом процессов производства. «RISC против CISC» не адекватно захватывает сложность отношений между этими тремя переменными.

Это займет несколько лет, прежде чем мы узнаем, если Apple M1 и будущие процессоры от Qualcomm представляют собой море моря на рынке или на следующий вызов AMD и Intel в возрасте. Будь то поддержание совместимости X86 - это бремя для современных процессоров, является как новый вопрос, так и очень старый. Новое, потому что до запуска M1 не было никакого значимого сравнения. Старый, потому что эта тема использовалась, чтобы получить довольно много дискуссии, когда в персональных компьютерах все еще использовались процессоры без x86.

AMD продолжает улучшать дзен на 1.15X - 1,2x в год. Мы знаем, что озеро Alder Intel также будет использовать сердечники CPU Low-Power X86 для улучшения потребления мощности бездействия. Оба производителя X86 продолжают развивать свои подходы к производительности. Потребуется время, чтобы увидеть, как эти ядра, и их преемники, карта против будущих продуктов Apple - но X86 не выходит из этого боя.

Почему RISC VS. COSC является неправильным способом сравнить x86, ARM CPU

Когда Patterson и Ditzel придумали Risc и CISC, они намеревались уточнить две разные стратегии дизайна процессора. Сорок лет, термины неразрешены столько, сколько они уточняют. RISC и COSC не имеют бессмысленного, но смысл и применимость обоих терминов стали очень контекстуальными.

RISC VS. CISC не является неправильным объективом для сравнения современного X86, ARM CPU

Проблема с использованием RISC против COSC в качестве объектива для сравнения CPU для сравнения современных X86 против ARM CPUS заключается в том, что требуется три специфических атрибута, которые имеют значение для сравнения ARM для сравнения ARM X86 Versus - процесса, микроархитектуры и ISA - раздают их до одного, а затем объявляют Рука превосходит на основе АСА один. «ISA-Centric» по сравнению с реализацией «является лучшим способом понимания темы, при условии, что один вспоминает, что есть диаграмма в целях согласованных важных факторов между двумя. Конкретно:

ISA-ориентированный аргумент признает, что производственная геометрия и микроархитектура важны и были исторически ответственными за доминирование X86 на рынке PC, Server и HPC. Этот взгляд удерживает, что когда преимущества производственного мастерства и установки базы контролируются для или Nullified, RISC - и по расширению, CPU ARM - обычно доказывают превосходное значение X86 CPU.

Реализационный ориентированный аргумент признает, что ISA может и имеет значение, но это исторически, микроархитектура и геометрия процесса имеют значение больше. Intel все еще восстанавливается из некоторых из худших задержек в истории компании. AMD все еще работает над улучшением Рызена, особенно на мобильном. Исторически обоих производителей X86 продемонстрировали способность эффективно конкурировать против производителей RICS CPU.

Учитывая реальность циклов дизайна процессора, это будет несколько лет, прежде чем у нас действительно будет ответ, на который превосходит аргумент. Одной из различий между полупроводниковым рынком сегодня и рынком 20 лет назад является то, что TSMC является гораздо более сильным литейным участником, чем большинство производителей RISC Intel, столкнувшись в конце 1990-х и начале 2000-х годов. Команда Intel 7nm должна быть под огромным давлением, чтобы доставить на этот узел.

Ничто в этой истории не следует читать, чтобы подразумевать, что CPU ARM не может быть быстрее и эффективнее, чем процессор X86. M1 и процессоры, которые последуют от Apple и Qualcomm, представляют собой наиболее мощную конкурентную угрозу X86, столкнулся за последние 20 лет. ISA-ориентированная точка зрения может оказаться верным. Но Risc против CISC является отправной точкой для понимания исторической разницы между двумя различными типами семей ЦП, а не последним словом о том, как они сравнивают сегодня.

Этот аргумент явно идет никуда. Бои, которые выгнали, когда ура стало самым горячим, на телевидении, как правило, обладают большим силой. Но понимание его истории, надеюсь, помогает объяснить, почему это ошибочная линза для сравнения процессоров в современной эпоху.

Примечание. Я не согласен с Engheim по идее, что различные претензии, подобные РССЭ, сделанные производителями X86, представляют собой маркетинговую улочку, но он написал несколько отличных историй на различные аспекты программирования и проекта процессора. Я рекомендую его работу для более подробной информации по этим темам.

Изображение функция Intel.

Читать далее

Apple M1 продолжает впечатлять в тестах Cinebench R23 и Affinity Photo
Apple M1 продолжает впечатлять в тестах Cinebench R23 и Affinity Photo

Новые тесты Cinebench R23 изображают AMD в более конкурентном свете по сравнению с M1, но SoC от Apple по-прежнему впечатляет. Однако тест Affinity Photo - это большая победа M1.

Quake II RTX теперь работает на графических процессорах AMD благодаря Vulkan Ray Tracing
Quake II RTX теперь работает на графических процессорах AMD благодаря Vulkan Ray Tracing

Quake II RTX от Nvidia теперь работает на графических процессорах AMD с использованием Vulkan, если у вас есть правильный драйвер (и RX 6000).

Разработчик Star Citizen представляет новую дорожную карту и отменяет бета-версию Squadron 42
Разработчик Star Citizen представляет новую дорожную карту и отменяет бета-версию Squadron 42

Cloud Imperium Games отменила бета-версию Squadron 42, которая должна была дебютировать до конца 2020 года, без текущего плана или сроков ее запуска.

Разработчики Star Citizen разгневаны, вынуждены работать через опасную для жизни техасскую бурю
Разработчики Star Citizen разгневаны, вынуждены работать через опасную для жизни техасскую бурю

Несколько сотрудников Cloud Imperium Games высказались против своего работодателя из-за того, как с ними обращались во время техасской метели 2021 года.