Intel Rebrands свої вузли майбутніх процесів, оновлює дорожню карту

Intel Rebrands свої вузли майбутніх процесів, оновлює дорожню карту

Intel зробила серйозні зміни та оголошення щодо майбутніх продуктів, і як буде повідомлено майбутні покращення виробництва компанії. Ці зміни будуть мати значний вплив на те, як ми говоримо про продукти Intel, що йдуть вперед.

Десятиліти тому була індустріальна група, відповідальна за визначення характеристик кожного нового літографічного вузла та узгодженого Конвенції для того, що означало новий вузол. Фактична практика назва вузла в нанометрах - 45 нм, 32 нм, 28 нм, і так далі - було розлучено з будь-якої об'єктивної метрики протягом багатьох років. Сьогодні, ЦМК, Intel та Samsung Всі мають різні стандарти для того, що таке "вузол". Процес XMC 16NM FinFet зберігав багато тих же розмірів, як його 20NM вузол, але додав FinFet. 12 Нм був подальшим уточненням 16 м, але він не запропонував покращення щільності, що означало чисельне скорочення від 20 нм до 12 нм. Ці відмінності між компаніями - це чому ми часто писали, що 14NM Intel було більш порівнянним з TSMC 10NM, а його 10nm більш порівнянна з TSMC 7NM.

Новий метод Intel для передачі покращення вузла визнає цей факт. Компанія відкине "NM" з майбутніх вузлів і зверніться до них за номером один - Intel 7, Intel 4, тощо вниз по лінії. "А" означає "ангстрем", одиниця виміру нижче нанометра. Один ангстрем = 100 пікометрів, а один нанометр = 1000 пікометрів.

Intel Rebrands свої вузли майбутніх процесів, оновлює дорожню карту

Попередні вузли все одно будуть називатися своєю оригінальною номенклатурою. Тигрове озеро все ще вважається побудований на процесі 10nm Intel. Однак, коли лежить Олдера озера, однак, його "покращене суперфін" не буде позначено як 10nm ++ або +++ або що ви - це буде побудований на Intel 7. Intel 7nm, коли він приходить, буде відомий як Intel 4 . Не зрозуміло, чи Intel 3 являє собою вишуканий 7nm вузол або 5nm, але вишуканий 7nm, здається, швидше за все. Очікується, що 722 Intel, як очікується, у 2022 році, і корабель для об'єму в 2023 році, а "прорив" Intel 20a очікується в H1 2024. Це може запропонувати дворівневий підхід, де Intel 3 є вишуканою та полірованою версією Intel 4, Але не новий вузол. Intel також може ввести 20A для мобільних пристроїв першим, поки тримаючи робочі чіпси назад на старший вузол, як це зроблено з моменту, що дебютували у 2014 році.

Перейменування вузлів до безрозмірного номера є прекрасним. Метрики, як "7NM", є по суті, вже безрозмірними. Додавання "нм" до задньої частини числа, як ніби відносини між ім'ям вузла, а метрика заплутана і заохочує людей до думки таких відносин існує.

За словами Intel, його нові назви вузла засновані на відносних покращенні продуктивності-Per-Watt, а не сирої продуктивності. В даний час, імена вузлів не чітко закріплені до жодної метрики поліпшення (продуктивність, потужність або область). Нові вузли завжди були випадками для ливарних виробів, щоб поставити свою виробничу доблесть, але конкретні поліпшення переходу вузла. Великий розрив між 28 нм і 20мм, здається, мав на увазі, що останній буде основним вузлом, але відносно нечисленних компаній. 16NM TSMC 16NM (який використовував той самий beol, як 20nm), був основним вузлом. Зміна AMD від 32NM SOI до 28nm Planar Silicon у GF не мала значного чистого впливу на споживання електроенергії, навіть якщо номер вузла знизився 4. 5NM TSMC пропонує скромні продуктивності та витрати енергії над його 7nm вузолом, але це до 1.8 x Більш щільні, порівняно з прибутком потужності та продуктивності в діапазоні 1.15x - 1.2x. Я написав у минулому, що нові вузли визначаються будь-яким чудовим відром технологічних інженерів, щоб зробити те, щоб зробити речі кращими після того, як вузол стискається, зробіть все гірше, і це буде продовжувати бути правдою.

Цей слайд також повторює, що Intel представить EUV при 7NM 4 і розширити його використання в 3. При 20А, Intel представить Ribbonfets. Це її версія технології NANOWIRS та NANOSHEET, які в даний час досліджуються на TSMC та Samsung. Intel 3 буде останньою ітерацією компанії FinFet, а Intel хоче бути в положенні "безперечне керівництво" до 2025 року. Intel 4 буде повним вузлом, зменшується з Intel 7.

Powervia - це нова технологія Intel для доставки потужності. Замість того, щоб працювати взаємозв'язок на вершині стека транзистора, вся така схема перейде до дна. Відповідно до Intel, це дозволяє використовувати верхню частину чіпа для маршрутизації сигналів, усуває напругу нанизу (з відповідним покращенням ефективності енергії), і дозволить компанії використовувати або маршрутизацію сигналу у загальній складності або більш швидких швидкості дроту. Швидкість дроту є основним джерелом затримки в сучасних чіпах, тому вдосконалення тут дуже корисні.

Intel Rebrands свої вузли майбутніх процесів, оновлює дорожню карту

Окрім Powervias, Intel працює над двома новими 3D-з'єднаними технологіями: Foveros Omni та Ferveros Direct. Foveros Omni має мідні колонці для переміщення потужності до верхньої смерті стека Foveros, мінімізуючи покарання ЦВ за цей вид склеювання. Foveros Omni також дозволять Intel об'єднати різні базові вузли, побудовані на різних виробничих процесах разом, і пропонує 25-мікрон припойки. Foveros Direct дозволяє для прямих мідно-мідних зв'язків з 10-мікронними ударами, посилення загальної щільності. Intel не виявив, якщо EMIB, його 2.5D мостовий взаємозв'язок, буде продовжувати розвиватися.

Intel представить 12 шарів EUV на Intel 4 і невідомий для Intel 3 і Intel 20a. Intel не наказав як багато машин EUV як деякі з її конкурентів, але він очікує розгортання високо-Na EUV машин у майбутньому. High-Na EUV є альтернативою мульти-візерунковим EUV, і це можливо Intel має намір зробити більші закупівлі EUV, коли нарешті доступні машини High-Na.

Intel подвоюється на виробництву

Intel підкреслює свої історичні виробничі відбивні з цими оголошеннями. Це схоже на те, що компанія зробила ще в 2018 році для свого технологічного дня, з застереженням, що наприкінці 2021 р. / На початку 2022 року ми будемо першим, коли ми побачимо, що деякі технології Intel оголосила, як Foveros, у транспортному засобі.

Неявний аргумент Intel потенційним ливарним клієнтам та кінцевим користувачам є те, що його 10nm неприємності представляли відхилення від десятиліть відмінного виконання, а не нового нормального для компанії. За останні 30 років Intel керував напівпровідниковою промисловістю набагато довше, ніж вона відстала. Призначення давньою Intel Insider як Pat Gelsinger був частиною стратегії Intel, щоб намалювати себе як повернення до своїх коренів.

Але Intel не просто прагне до повернення до днів своєї слави. Компанія сказала нам, що просунуті вузли, які явно включені Intel 3 та Intel 20a, будуть запропоновані її ливарним клієнтам. Імплідність полягає в тому, що технології, такі як Foveros, Foveros Omni, Foveros Direct, та Powervias будуть також. Intel хоче своїх клієнтів пов'язати його з виготовленням досконалості, чи є кремній всередині заданого пристрою, чи ні. Щоб зробити це, це буде потрібно запропонувати конкурентоспроможні рішення проти суперника ЦМК.

Минулого місяця я написав глибокий занурення до питання про те, чи є порівняння між так званими "CISC" та "RISC" CPUS, є ефективним способом порівняння сучасних мікропроцесорів. Повернувшись у середині 1990-х до початку 2000-х років, вищого виробництво Intel була ключовою до довгострокового успіху та кінцевого поглинання X86 р. Ринок процесора. TSMC та Samsung значно більше здатних, ніж будь-який виробник процесора RISC, який був у цій епоху, тоді як Intel знаходиться у слабкому відносній позиції, але запущена дорожня карта компанії агресивна.

Як масштабування стає складніше, абсолютний внесок літографії до продуктивності кожного вузла, потужності та вдосконалення району вже почав падати. Рішення Intel підкреслити альтернативні технології взаємозв'язку поряд з можливістю зсуву до стрічки, визнає цю тенденцію. Ми не знаємо, як FOVEROS, FOVEROS OMNI, або Fervos Direct порівнюють з пропозиціями з TSMC, але будь-які переваги Intel можуть викрутити його нові методи взаємозв'язку, які можуть бути використані для зниження загального споживання електроенергії X86, підвищити продуктивність або обидва.

О, один останній TidBit: Meteor Lake Meteor Intel в цьому кварталі. Стрічка означає, що різні групи дизайну, що сприяють блоках IP до Метеорського озера, подали свою роботу до кінцевої бази даних. Це відрізняється від стрічки, яка відноситься до надсилання завершеного дизайну на фабрику для виготовлення. Очікується, що Meteor Lake буде запустити в 2023 році, тому ми ще кілька років з комерційного обсягу.

Читати далі

Раджа Кодурі від Intel представить на майбутній конференції Samsung Foundry
Раджа Кодурі від Intel представить на майбутній конференції Samsung Foundry

Цього тижня Раджа Кодурі від Intel виступить на ливарному заході Samsung - і це не те, що сталося б, якби Intel не мала чого сказати.

Нові відомості про Intel Rocket Lake: Сумісність із зворотною стороною, Xe Graphics, Cypress Cove
Нові відомості про Intel Rocket Lake: Сумісність із зворотною стороною, Xe Graphics, Cypress Cove

Intel опублікувала трохи більше інформації про Rocket Lake та його 10-нм процесор, який було перенесено назад на 14 нм.

Intel випускає нові мобільні графічні процесори Xe Max для творців вмісту початкового рівня
Intel випускає нові мобільні графічні процесори Xe Max для творців вмісту початкового рівня

Intel випустила новий споживчий мобільний графічний процесор, але він має дуже конкретний варіант використання, принаймні зараз.

Огляд Ryzen 9 5950X та 5900X: AMD розв’язує Zen 3 проти останніх бастіонів продуктивності Intel
Огляд Ryzen 9 5950X та 5900X: AMD розв’язує Zen 3 проти останніх бастіонів продуктивності Intel

AMD продовжує натиск на те, що колись було безперечним торфом Intel.